DigitElectrLabsPart1 (Лабы), страница 3

PDF-файл DigitElectrLabsPart1 (Лабы), страница 3 Схемотехника (113698): Лабораторная работа - 2 семестрDigitElectrLabsPart1 (Лабы) - PDF, страница 3 (113698) - СтудИзба2021-10-24СтудИзба

Описание файла

Файл "DigitElectrLabsPart1" внутри архива находится в папке "Лабы". PDF-файл из архива "Лабы", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Просмотр PDF-файла онлайн

Текст 3 страницы из PDF

1.2) –– зона макетирования для подключения к сигналам NI ELVIS,включая регулируемые источники питания, цифровые входные/выходные сигналы, выходные сигналы счётчиков, общие точки сигналов.1.4.2Зона макетирования общего назначенияЗона макетирования общего назначения состоит из двух разъёмов (8 на рис. 1.2).Эти разъёмы не подключены к каким-либо электронным компонентам платы.При работе отладочной платы NI Digital Electronics FPGA Board в автономномрежиме (Stand–alone Mode) разъёмы BB4 и ВВ5 сигнальной зоны макетирования могутбыть использованы в качестве разъёмов общего назначения.1.5Аппаратная реализация периферийных устройствВ данном разделе рассмотрена аппаратная реализация периферийных устройств,необходимых для выполнения лабораторных работ.1.5.1Движковые переключателиОтладочная плата NI Digital Electronics FPGA Board имеет восемь движковых переключателей, обозначенных SW0÷SW7 (3 на рис.

1.2). На рис. 1.5 показана схемавключения движковых переключателей в отладочной плате.Длительность дребезга контактов составляет 2 нс, в отладочной плате не имеетсясхем защиты от дребезга контактов. Выходное сопротивление движковых переключателей –– 2 кОм. При нахождении движкового переключателя в верхнем положении(положение «ON») переключатель подключает соответствующую линию к линии с напряжением 3.3 В («лог.1»). При нахождении движкового переключателя в нижнемположении (положение «OFF») переключатель подключает соответствующую линию кобщей точке (земле) –– «лог.0».12Рис. 1.5.

Схема включения движковых переключателей [4]Линии SW0÷SW7, на которых выставлено напряжение «лог.1» или «лог.0» с помощью движковых переключателей, выведены на разъём BB1 зоны макетирования. Такжедвижковые переключатели напрямую подключены к соответствующим выводам ПЛИС.1.5.2КнопкиОтладочная плата NI Digital Electronics FPGA Board имеет четыре кнопки BTN0÷BTN3с моментальным установлением контакта (4 на рис.

1.2). На рис. 1.6 показана схемавключения кнопок в отладочной плате.Рис. 1.6. Схема включения кнопок [4]Нажатие на кнопку соединяет общую точку схемы со входом логического инвертора,поэтому на выходе логического инвертора, включённого в линию, соответствующую тойили иной кнопке, появляется напряжение уровня «лог.1».

Когда кнопка не нажата, навход каждого из инверторов подаётся напряжение уровня «лог.1» с источника питания,что вызывает появление на выходе логического инвертора напряжения уровня «лог.0».Схема защиты от дребезга контактов состоит из резистора и конденсатора, включенныхв линию каждой кнопки.Линии BTN0÷BTN3, на которых выставлено напряжение «лог.1» или «лог.0» с помощью соответствующих кнопок, выведены на разъём BB1 зоны макетирования.

Такжекнопки напрямую подключены к соответствующим выводам ПЛИС.131.5.3СветодиодыОтладочная плата NI Digital Electronics FPGA Board имеет восемь дискретныхсветодиодов LD0÷LD7 с планарными выводами (20 на рис. 1.2). На рис. 1.7 показанасхема включения светодиодов в отладочной плате.Рис. 1.7. Схема включения светодиодов [4]К катоду каждого светодиода подключен токозадающий резистор номиналом 390 Ом,к катоду каждого светодиода подключен КМОП-драйвер (см.

рис. 1.7).Линии управления каждым из светодиодов выведены на разъём ВВ3 зоны макетирования. Для того, чтобы вызвать свечение требуемого светодиода, необходимо податьсигнал «лог.1» (3.3 В или 5 В) на линию управления выбранного светодиода.1.5.4Двунаправленные линии общего назначенияОтладочная плата NI Digital Electronics FPGA Board имеет 32 двунаправленныелинии общего назначения GPIO0÷GPIO31. На рис. 1.8 показана схемотехника этихлиний.Каждая двунаправленная линия общего назначения соединена с ПЛИС через токозадающий резистор номиналом 200 Ом. Двунаправленные линии общего назначениявыведены на разъёмы BB2 и BB3 зоны макетирования.Двунаправленные линии общего назначения могут быть индивидуально сконфигурированы программным способом на ввод или вывод сигналов.

Вводами/выводами сигналов для описываемых линий являются КМОП устройства, поэтому уровнем «лог.1»будет напряжение +3.3 В, допустима подача сигналов с уровнем «лог.1» +5 В.14Рис. 1.8. Схемотехника двунаправленных линий общего назначения [4]1.5.5Семисегментный индикатор с двумя знакоместамиОтладочная плата NI Digital Electronics FPGA Board имеет семисегментный индикатор DISP 1 с двумя знакоместами, включенный по схеме с общим катодом. Нарис. 1.2 показано расположение индикатора на плате (19). На рис. 1.9 показана схемавключения индикатора в отладочной плате.Рис. 1.9. Схема включения семисегментного индикатора с двумя знакоместами [4]Каждая цифра индикатора состоит из семи сегментов, в каждый из которых встроенсветодиод.

На рис. 1.9 светодиоды сегментов, составляющие нулевую цифру индикатораобозначены как SEGx0, первую цифру индикатора –– SEGx1.Схема включения индикатора позволяет вызвать свечение каждого светодиода независимо, что позволяет расширить количество символов, которые можно отобразить спомощью индикатора. Для того, чтобы вызвать свечение отдельного светодиода, на соответствующую линию управления нужно подать сигнал «лог.1» (3.3 В или 5 В).

Линииуправления COM0 и COM1 предназначены для выдачи сигнала разрешения/запрета15работы соответствующей цифры индикатора, что позволяет использовать индикатор вмультиплексном режиме. Линии управления светодиодами сегментов и линии управления COM0 и COM1 подсоединены к ПЛИС.1.5.6Вращающаяся нажимная кнопка и светодиодыОтладочная плата NI Digital Electronics FPGA Board имеет вращающуюся нажимную кнопку ROT 1 (5 на рис. 1.2), которая служит для выбора частотного диапазонасинхросигнала.Рис.

1.10. Вращающаяся нажимная кнопка ROT 1Нажатие на вращающуюся нажимную кнопку выбирает частотный диапазон, указываемый соответствующим светодиодом. На на рис. 1.10 показаны вращающаяся нажимная кнопка и светодиоды. Свечение соответствующего светодиода указывает навыбор одного из следующих частотных диапазонов:• LD-LOW –– при выборе этого диапазона генератор синхросигналов генерируетсигнал в диапазоне 1 Гц ÷ 100 Гц.• LD-MID –– при выборе этого диапазона тактовый генератор генерирует сигналв диапазоне 100 Гц ÷ 100 кГц.• LD-HIGH –– при выборе этого диапазона генератор синхросигналов генерируетсигнал в диапазоне 100 кГц ÷ 5 MГц.Конкретное значение частоты генератора в пределах выбранного диапазона задаётсявращением кнопки.Вывод генератора синхросигналов соединен с линией RotClk, выведённой на разъёмBB1 зоны макетирования. Выход генератора синхросигналов не подсоединён к ПЛИС.16Глава 2ОСНОВЫ АЛГЕБРЫ ЛОГИКИИ ВЫПОЛНЕНИЕ ЛОГИЧЕСКИХОПЕРАЦИЙ2.1Логические константы и переменные.Операции Булевой алгебрыДля описания алгоритмов работы цифровых устройств необходим соответствующий математический аппарат.

Такой аппарат для решения задач формальной логикив середине XIX века разработал ирландский математик Джорж Буль. По его имениматематический аппарат и получил название булевой алгебры, или алгебры логики.Булева алгебра — это математическая система, оперирующая двумя понятиями:«событие истинно» и «событие ложно». Естественно ассоциировать эти понятия с цифрами, используемыми в двоичной системе счисления. Далее будем их называть соответственно логическими единицей (лог.1) и нулем (лог.0).Все возможные логические функции k переменных можно образовать с помощьютрех основных операций:• Конъю́нкция (от лат. conjunctio союз, связь) — логическая операция, по своемуприменению максимально приближённая к союзу «и». Синонимы: логическое «И»,логическое умножение, или просто «И».• Дизъю́нкция (лат.

disjunctio — разобщение) — логическая операция, по своемуприменению максимально приближённая к союзу «или» в смысле «или то, илиэто, или оба сразу». Синонимы: логическое «ИЛИ», включающее «ИЛИ», логическое сложение, иногда просто «ИЛИ».• Отрица́ние в логике — унарная операция над суждениями, результатом которойявляется суждение, «противоположное» исходному. Синоним: логическое «НЕ»,инверсия.Приведем таблицы истинности для трех основных логических операций.A B0 00 11 01 1ИЛИ И00101011A НЕ011017Практически все булевы функции для 1, 2 и 3-х переменных сложились исторически и имеют уникальные имена. Символы, участвующие в обозначениях элементарных функций, называются логическими связками (операциями), или функциональными символами. В литературе и различных языках программирования функциональныесимволы имеют различные условные обозначения.

В таблице 2.1 приведены условныеобозначения и исторические названия элементарных булевых функций.Таблица 2.1. Обозначения и исторические названия некоторых булевых функцийОбозначениеНазвание0тождественный ноль,тождественная ложь,тождественное «НЕТ»x ↓ y, x ИЛИ-НЕ y, ИЛИ-НЕ(x, y),НЕ-2ИЛИ, 2ИЛИ-НЕ,x NOR y, NOR(x, y)антидизъюнкция,функция Да́ггера,функция Ве́бба,стрелка Пи́рсаx < y, x LT y, LT(x, y),меньше,x←yинверсия обратной импликации0x, НЕ1(x, y), NOT1(x, y), x , ¬x,∼ xотрицание(негация, инверсия)первого операндаx > y, x GT y, GT(x, y),больше,x→yинверсия прямой импликации0y, НЕ2(x, y), NOT2(x, y), y , ¬yотрицание(негация, инверсия)второго операндаx ⊕ y, x +2 y, x 6= y, x >< y, x <> y,сложение по модулю 2,x XOR y, XOR(x, y)не равно,измена,исключающее «или»x|y, x NAND y, NAND(x, y), x И-НЕ y, И-НЕ(x, y) НЕ-2И, 2И-НЕ,антиконъюнкция,пунктир Чулкова,штрих Ше́ффераx&y, x · y, xy, x ∧ y, x × y, x AND y, AND(x, y),2И,x И y, И(x, y), min(x, y)конъюнкцияx ≡ y, x = y, x EQV y, EQV(x, y), x ↔ yравенство,эквивалентностьy, ДА2(x, y), YES2(x, y)второй операндx → y, x ≤ y, x ⊃ y, x LE y, LE(x, y)меньше или равно,прямая импликация(от первого аргумента ко второму)x, ДА1(x, y), YES1(x, y)первый операндокончание на следующей странице18начало на предыдущей страницеОбозначениеНазваниеx ← y, x ≥ y, x ⊂ y, x GE y, GE(x, y) больше или равно,обратная импликация(от второго аргумента к первому)x ∨ y, x + y, x ИЛИ y, ИЛИ(x, y),2ИЛИ,x OR y, OR(x, y), max(x, y)дизъюнкция1тождественная единица,тождественная истина,тождественное «ДА»,тавтология2.2Основные аксиомы и законы алгебры–логикиДля логических операций (И, ИЛИ, НЕ), рассмотренных в предыдущем параграфе,справедлив ряд аксиом (тождеств) и законов, основные из которых даны в таблице 2.2.Для обозначения эквивалентности логических выражений используется знак равенства«=».Таблица 2.2.

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5259
Авторов
на СтудИзбе
420
Средний доход
с одного платного файла
Обучение Подробнее