СХЕМ ЛАБ РАБОТА_1 (Лабораторная работа - ACTIVE-HDL)

2021-10-24СтудИзба

Описание файла

Файл "СХЕМ ЛАБ РАБОТА_1" внутри архива находится в папке "LAB_RAB_s_ACTIVE-HDL". Документ из архива "Лабораторная работа - ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "СХЕМ ЛАБ РАБОТА_1"

Текст из документа "СХЕМ ЛАБ РАБОТА_1"

СХЕМОТЕХНИКА

ЛАБОРАТОРНАЯ РАБОТА 1

Исследование элементов логических схем и изучение САПР.

Продолжительность:

360 мин.

Дисциплины:

Схемотехника ЭВМ”,

Предназначено:

Для студентов по направлению информатика и вычислительная техника в соответствии с учебным планом.

Цель:

Цель работы состоит в ознакомлении с составом современных систем элементов, в изучении основных характеристик элементов и простых комбинационных схем,

а также в овладении практическими навыками моделирования цифровых схем, построенных на простых логических элементах, с использованием схемного редактора САПР и библиотеки элементов типа КР1533.

Результат обучения:

После успешного завершения занятия пользователь должен уметь:

Проектировать простейшие комбинационные схемы на логических вентилях и анализировать их методом моделирования, с использованием студенческой версии САПР ACTIVE-HDL

Используемые программы:

ACTIVE –HDL САПР фирмы ALDEC(бесплатная студенческая версия) или бесплатная версия САПР ISE WEB PACK v 14 фирмы XILINX

План занятия:

Самостоятельная работа.

Изучение материалов пособия-лекций 1,2(главы 1,2), скачивание с сайта фирмы ALDEC и установка на персональном компьютере программы САПР ACTIVE_HDL, скачивание с сайта МЭИ и изучение инструкций пользователя, в первую очередь инструкций по работе со схемным редактором САПР, составу и функциям библиотечных элементов- генераторов сигналов, элементов серии КР1533, заданиями лаб.работы и их выполнению, составление отчета о результатах работы и ее защите.

Подготовка к работе включает

Cоздание и настройку виртуального( модельного) лабораторного стенда .

Подготовка и установка программных средств САПР (См. «Инструкцию по скачиванию и установке программ ACTIVE-HDL на ПК»)

Изучение подробной инструкции по работе схемным( графическим) редактором САПР, редактором временных диаграмм и просмотрщиком временных диаграмм-см инструкцию по графическим средствам САПР.

Краткая инструкция в ПРИЛОЖЕНИИ 1 ниже, после описания теоретической части лабораторной работы

( подробно смотрите в методических материалах файлы -Creating-act- HDL Graphical Modules и Работа с ACT_HDL).

Настройку лабораторного стенда, которая включает создание каталога проекта sxem_lab, перенос в него библиотек моделей элементов и генераторов сигналов полученных с сайта мэи. При работе с ACTIVE-HDL все проекты по умолчанию находятся в папке MY_Design и соответственно проще всего в этот каталоге разместить каталог sxem_lab

Ознакомление с индивидуальными( согласно номеру учащегося в группе)

вариантами заданий и выполнение нужных подготовительных расчетов и проектов схем( варианты заданий см после теоретической части,которая представляет собой краткую выдержку из гл.1 пособия).

Содержание заданий

Задание I. Знакомство с САПР на примере модельного исследования инвертора.

  1. Запуск готовой тестирующей программы инвертора (NOT_1_TB) состоящей из модели инвертора(NOT_1) и модели генератора сигналов( GEN_CLK) . Bывод временных диаграмм реализовать средствами просмотрщика диаграмм САПР.

Посмотрите , чему равен период генератора сигналов и каковы задержки в инверторе и приведите их в отчете по лаб.работе вместе с временными диаграммами. Образец отчета приведен в ПРИЛОЖЕНИИ 4.

  1. Создание собственного схемного проекта из модели инвертора (NOT_1) состоящей только из модели инвертора. Задание временных диаграмм входных сигналов ( того,что делал в предыдущем задании блок-генератор сигналов GEN_CLK) реализовать средствами редактора диаграмм входных сигналов САПР. Задайте другие, чем давал блок GEN_CLK временные параметры источника входных сигналов, например период = номер_ варианта * 50 нс.

(как это делать- см. в катлоге методички файлы Работа с ACT_HDL , начиная с рис.20 или Балаш_Пол_ Работа с ACT_HDL начиная с пункта 1.3 подготовка к моделированию и моделирование).

Задание 2. Исследование работы цепочки инверторов.

Необходимо построить из инверторов( NOT_1) и элемента 2ИЛИ-НЕ(NOR2 или LE1) схему , генерирующего периодический сигнал с заданным периодом, согласно индивидуальному ( по номеру учащегося в списке группы) варианту задания. Пользуясь схемным редактором необходимо создать новый схемный файл с именем например INV_CHAIN, расставить на поле схемы нужное число инверторов, поименовать промежуточные сигналы понятными именами типа Х1,Х2 и т.д. ,подключить генератор сигнала сброса к входу 2ИЛИ-НЕ и т.д.

Как переименовывать сигналы, автоматически именуемые схемным редактором по типу NET11, NET12 и т.п. см. в файле

Подсказка. НАДО на входе ПОСТАВИТЬ либо ГЕНЕРАТОР СИГНАЛОВ С ОЧЕНЬ БОЛЬШИМ ПЕРИОДОМ – например не 40, а 400 нс, чтобы генератор сработал несколько раз, либо поставить блок GEN_RST, который дает сначала 1, а потом 0 на оставшееся время .И этот блок работает на блок И или ИЛИ в зависимости от вашего решения. Смотри в теоретической части Генератор сигналов из цепочки инверторов.

Если надо подробнее- Ознакомьтесь с файлом описания состава библиотек блоков – каталог МЕТОДИЧКИ АКТИВ , файл РУКОВ _по _использ_библ_элементов,выдержки из которого см. в приложении 4.

Варианты заданий 2

Период сигнала в единицах задержек инвертора

1

6

2

10

3

2

4

6

5

2

6

6

7

10

8

6

9

6

Задание 3. Исследование работы двухвходового элемента( 2И,2ИЛИ и т.п.) согласно индивидуальному ( по номеру учащегося в списке группы) варианту задания .

Получить временную диаграмму вх.A,B и вых.Y сигналов и сравнить У с таблицей истинности элемента.

Названия элементов взять по справочнику по микросхемам серии КР1533,- например элемент 2И-НЕ это микросхема LA3.

Варианты задания №3

Элемент

1

2ИЛИ

2

2И-НЕ

3

2ИЛИ-НЕ

4

2XOR

5

2XNOR

6

7

2ИЛИ

8

2И-НЕ

9

2ИЛИ-НЕ



Задание 4.Исследование гонок сигналов в схеме из двух параллельно работающих цепочек буферных элементов ( повторителей). Сигнал с цепочек поступает на входы элемента 2И. Число буф. элементов в каждой группе взять согласно индивидуальному ( по номеру учащегося в списке группы) варианту задания . Изучить и обьяснить диаграмму выходного сигнала У (изменилась ли его длительность и форма и т.п.)

Варианты заданий №4.

Число повторителей в верхней цепи

Число повторителей в нижней цепи

1

4

8

2

6

3

3

3

4

4

2

3

5

3

5

6

6

4

ОТЧЕТ о работе

По каждой лабораторной работе составляется отчёт, включающий в

себя:

а) наименование института и кафедры,

наименование работы ,ФИО , телефон и е-майл исполнителя,дату;

б) цель работы;

в) задание на лабораторную работу;

г) расчетную часть (логический синтез схем, расчет временных соотношений и т.п.) ;

д) функциональные и принципиальные схемы изучаемых или проектируемых узлов

е) снятые временные диаграммы моделирования или таблицы;

ж) выводы по каждому пункту задания и оценку количества времени,потраченного на работу по разделам, включая изучение лекционного материала,описания заданий, подготовку схем, работу на ЭВМ и составление отчета.

Пример отчета см в ПРИЛОЖНЕНИИ 5



1. Краткие теоретические сведения ( выдержки из главы 1 пособия ).

1.1. Логические функции и логические элементы

Известны различные математические модели сигналов. Наиболее простой является двоичная или Булева модель. В этой модели принято, что сигналы имеют лишь два уровня 1(истинно-True) и 0( ложно-False). Все логические операции по преобразованию сигналов в этом двоичном алфавите описываются Булевой алгеброй.

Ниже в табл.1. 1 представлены Булевские таблицы истинности логических операций (элементарных логических функций): НЕ(NOT-инверсия), И (AND- конъюнкция,), И-НЕ (NAND- конъюнкция с инверсией), ИЛИ(OR- дизьюнкция), ИЛИ-НЕ(NOR- дизьюнкция с отрицанием),

ИСКЛЮЧАЮЩЕЕ ИЛИ(XOR-сложение по модулю 2) и ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ(XNOR).В табл.1.1 А и В –аргументы( входы) Булевских функций, под названиями функций –результаты операций.

Таблица 1.1. Булевские таблицы истинности ряда логических функций

Логические операции над цифровыми сигналами выполняют логические элементы.

На рис.1.1 представлено Условное Графическое отображение(УГО) логического элемента И с N входами и одним выходом.

Рис. 1. Условное графическое отображение N-входового логического элемента И

1.2.1.Элемент НЕ(NOT-инвертор)

Функция логического отрицания НЕ(NOT) инвертирует значение аргумента. Этой функции соответствует логический элемент НЕ (инвертор) с одним входом и одним выходом (рис.2).


1



Рис.2. Условное графическое обозначение элемента НЕ(NOT)

Логический элемент НЕ инвертирует поступающий на вход сигнал Х, другими словами, заменяет сигнал X на сигнал Операция инверсии обозначается в Булевской алгебре надчеркиванием, а в языке VHDL словом NOT.Не вдаваясь в детали языка описания аппаратуры VHDL, приведем описание на нем Инвертора . В начале описывается интерфейс элемента

( латинские прописные и заглавные буквы не различаются).

entity NOT_1 is

port ( X : in bit; Y : out bit );

end ;

Элемент (entity) с именем NOT_1 имеет вход X и выход Y двоичного типа ( сигналы на них принимают значения ‘0’ или ‘1’ ).

Функция элемента NOT_1 описана в разделе описания Архитектура с именем BEH.

architecture BEH of NOT_1 is

begin

Y <= NOT X;

end;

В этом VHDL-описании использована логическая операция NOT( Не) и оператор назначения сигнала <= .

Видно , что такое описание более громоздко , чем на языке Булевской алгебры, но зато оно непосредственно может быть введено и обработано на ЭВМ .

Буферный элемент-повторитель имеет более простое Булевское описание

У=Х.

1.2.2. Элемент И (AND)

Л огический элемент И работает с двумя и более входными сигналами. Условное графическое обозначение логического элемента И с двумя входами(2И) приведено на рис.3.

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5224
Авторов
на СтудИзбе
427
Средний доход
с одного платного файла
Обучение Подробнее