Главная » Все файлы » Просмотр файлов из архивов » PDF-файлы » Спиридонов С.Б. - Схемотехника дискретных устройств

Спиридонов С.Б. - Схемотехника дискретных устройств, страница 9

PDF-файл Спиридонов С.Б. - Схемотехника дискретных устройств, страница 9 Схемотехника (17503): Книга - 4 семестрСпиридонов С.Б. - Схемотехника дискретных устройств: Схемотехника - PDF, страница 9 (17503) - СтудИзба2018-01-09СтудИзба

Описание файла

PDF-файл из архива "Спиридонов С.Б. - Схемотехника дискретных устройств", который расположен в категории "". Всё это находится в предмете "схемотехника" из 4 семестр, которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "схемотехника дискретных устройств" в общих файлах.

Просмотр PDF-файла онлайн

Текст 9 страницы из PDF

Коэффициент объединения по входу,3. Быстродействие, характеризующиеся следующими параметрами:- задержкой переключения триггера tt ,- минимально допустимой длительностью сигнала записи информации втриггер ti ,- рабочей частотой и максимальной частотой переключения триггера f p иfm .Специфические параметры триггеров:- разрешающее время триггера t разр , представляет наименьший интервалвременимеждувходнымисигналамивызывающие переключение триггера.минимальнойдлительности,Тогда максимально допустимаячастота работы триггера определяется по формулеf макс = 1/ t разрАномальные состояния триггеров.Аномалии – это в большинстве случаев неустранимые явления, вызванныеразбросом параметров полупроводниковых компонентов из которыхсобирается либо формируется по интегральной технологии схема того илииного триггера.

Как правило, аномалии возникают при работе сасинхронными сигналами. Аномалии бывают метастабильные, когдавыходные напряжения на выходах триггеров близки к пороговым, иколебательные, когда напряжение выходного сигнала триггера осуществляетколебания вокруг пороговых значений.5.2.Триггеры RS, D, JK, Т типа.Триггеры RS – типа.Асинхронный RS-триггер94АсинхроннымтриггеромRS-типа(RS-триггером)называетсялогическое устройство с двумя устойчивыми состояниями, имеющее дваинформационных входа R и S, такие что, при S = 1 и R = 0 триггер принимаетсостояние 1 (Q =1), а при R = 1 и S = 0 триггер принимает состояние 0 (Q =0). Закон функционирования RS – триггера с прямыми входами отображен втаблице переходов, табл.5.1.Таблица 5.1.RtStQt Qt+1000011110011001101010101011100∗∗При значениях Rt=St=1 состояние триггера является неопределенным.Перенеся на карту Карно (рис.2.)таблицу переходов RS-триггера идоопределив значения Qt+1 единицами можно получить минимизированнуюдизъюнктивнуюнормальнуюформу(МДНФ)уравнения RS-триггера.Рис.

5.3. Карта Карно асинхронного RS – триггера.95характеристическогоtQ t +1 = S t + R Qt(5.2)Исходя из характеристического уравнения триггера, его можнопостроить на логических элементах «И-НЕ», «ИЛИ-НЕ» и других. Чтобыполучить структурное уравнение триггера, необходимо произвести двойноеинвертирование характеристического уравнения.Qt +1 = S t * Rt Qt(5.3)Реализация этого уравнения на элементах «И-НЕ» представлена нарис.

5.4., а условное графическое обозначение (УГО) на рис. 5.5.SQQRРис. 5.4. Асинхронный R-S триггер с инверсными входами на элементах «ИНЕ».Рис. 5.5. Условно-графическое обозначение асинхронного R-S триггера синверсными входами.Для такого триггера активным сигналом является уровень логического0, а запрещенной комбинацией Rt=St=0, при которой состояние триггерабудет неопределенным.96МКНФ характеристического уравнения RS-триггера в соответствии скартой Карно (рис.5.6.) имеет следующий вид00Qt010011110*0*0Рис.5.6.

Карта Карно для RS – триггера с прямыми входами.Qt +1 = Rt * ( S t + Q t )(5.4)После инвертирования уравнения (4) получится структурное уравнениедля реализации RS-триггера с прямыми входами на элементах «ИЛИ-НЕ».Qt +1 = Rt + ( S t + Qt )(5.5)Реализация уравнения (5) на элементах «ИЛИ-НЕ» показана на рис.5.7.,а УГО асинхронного RS-триггера с инверсными входами на рис.5.8.RQQSРис.5.7.

RS-триггер с прямыми входами на элементах «ИЛИ-НЕ».97Рис.5.8. Условно-графическое обозначение асинхронного R-S триггера спрямыми входами.Временная диаграмма асинхронного R-S триггера представлена нарис. 5.9.t0t1t2t3Рис. 5.9. Временная диаграмма асинхронного R-S триггера.Синхронный R-S -триггер с прямыми входами.В отличие от асинхронного этот триггер на каждом информационномвходе имеет дополнительные схемы совпадения, первые входы которыхобъединены и на них подаются синхронизирующие сигналы. Вторые входысхем совпадения являются информационными.

Таким образом, наличие схемсовпадения определяет то обстоятельство, что триггер будет срабатывать отсигналов R и S только при наличии синхронизирующего импульса.При добавлении синхросигнала таблица переходов синхронногоRS-триггера имеет следующий вид (табл. 5.2).98Таблица 5.2.

таблица переходов синхронногоRS-триггераCt St RtQt Q t+100000000110010000111010000101101100011111000010011101001011011001110111110∗1111∗Карта Карно для логической функции Qt+1 имеет следующий вид,рис.5.10.99Рис.5.10. Карта Карно синхронного RS- триггера для логическойфункции выходного сигнала Qt+1Доопределяя функцию Qt+1 единицами, МДНФ характеристическогоуравнения синхронного RS-триггера примет вид:Qt +1 = C t + Qt R t + C t S t(5.6)При построении схемы синхронного RS-триггера на элементах «И-НЕ»МДНФ его характеристическое уравнение преобразуется вструктурное (7).Qt +1 = S t C t * Qt * Rt C t(5.7)Реализация структурного уравнения синхронного RS-триггера спрямыми входами на элементах «И-НЕ» представлена на рис.5.11, а его УГОна рис.

5.12.100&S&QC&&QRРис. 5.11 Синхронный RS-триггер с прямыми входами на элементах «И-НЕ».Рис. 5.12. Условно-графическое изображение синхронного RS-триггера.Временная диаграмма синхронного RS-триггера представленная нарис. 5.13.t0t1t2t3Рис. 5.13. Временная диаграмма синхронного RS-триггера.101Двухступенчатый (типа MS) синхронный RS-триггер.Устойчивая работа одноступенчатых RS-триггеров в произвольнойсхеме возможна только в случае, если занесение в триггер информацииосуществляется после завершения передачи информации о прежнем егосостоянии в другой триггер.

Такую работу триггера можно обеспечить прииспользовании двух серий находящихся в противофазе сигналов, используясвойство внутренней задержки. В триггерах с внутренней задержкой новаяинформация на выходе устанавливается только после окончания действиясинхронизирующего импульса.ПодобныйдвухступенчатыхпринципобменаRS-триггерах.Такойинформациейтиптриггеровреализованполучилвещёальтернативное наименование: – триггер с задержкой. Простейшая схемадвухступенчатого RS-триггера с управляющим инвертором показана на рис.5.14, а его УГО на рис. 5.15.SQCQRРис.

5.14. Схема двухступенчатого синхронного RS-триггера.102Рис.5.15. Условно-графическое изображение двухступенчатого RS-триггера.Схема двухступенчатого RS-триггера состоит из двух одноступенчатыхRS-триггеров и инвертора в цепи синхронизации. При поступленииединичного синхросигнала входная информация заносится в первыйодноступенчатый RS-триггер.

Второй RS-триггер при этом будет хранитьинформацию, относящуюся к предыдущему такту.По окончании действия синхросигнала, когда C=0, а C = 1, первыйтриггер перейдет в режим хранения, а второй перепишет из него новоезначение выходного сигнала.Двухступенчатый триггер изменяет свои состояния только послеокончания действия сигнала синхронизации. Поэтому из двухступенчатыхтриггеров можно строить произвольные схемы, в том числе подаватьсигналы с выхода триггера на его вход.На схеме показаны асинхронные R-, S- входы.

Они являютсяприоритетными, т.е. при подаче на них активных информационных сигналов- синхронные R-, S- входы блокируются. Для работы триггера всинхронизируемом режиме на асинхронные входы должны быть поданыединичные сигналы.Схема RS-триггеров составляет основу для построения другихтриггерных схем, таких как D-,T- и JK-триггеры.Временная диаграмма двухступенчатого RS-триггера представлена нарис. 5.16.103t0t1t2t3t4t5t6Рис.

5.16. Временная диаграмма двухступенчатого RS-триггера.Синхронный D-триггер.ТриггеромD-типа называетсялогическоеустройствосдвумяустойчивыми состояниями и одним информационным входом D и входомсинхроимпульса С.Таблица переходов синхронного D-триггера имеет вид, табл. 5.4.Таблица 5.4.CtDtQtQt+100000011010001111000101011011041Характеристическое111уравнениесинхронногоD-триггерапосленесложных преобразований примет вид (5.8).Qt +1 = C t Q t + C t Dt(5.8)Из уравнения следует, что при наличии синхросигнала (C=1) триггерпереходит в состояние, предписанное D-входом, т.е. Qt+1 = Dt. Приотсутствии синхросигнала (C=0) триггер сохраняет предыдущее состояние.Таким образом, триггер задерживает прохождение поступившего по D-входуинформационного сигнала до появления синхросигнала.

Отсюда название Dтриггера (delay-задержка).Характеристическое уравнение, преобразованное в структурное для егореализации на элементах И-НЕ, примет вид (5.9).Qt +1 = C t Dt * Qt * C t D t(5.9)Реализация этого структурного уравнения синхронного D-триггера наэлементах «И-НЕ» приведена на рис. 5.17, а УГО D –триггера на рис.

5.18.&D&QC1&&QРис. 5.17. Схема синхронного D-триггера на элементах И-НЕ.105Рис. 5.18. Условно-графическое изображение синхронного D-триггера.Временная диаграмма синхронного D-триггера представлена нарис. 5.19.t7t 4 t5 t 6t0 t1t2t3Рис. 5.19. Временная диаграмма синхронного D-триггера.Синхронные триггеры динамического типа.Рассмотренные выше типы синхронных триггеров относились кпотенциальному типу. Особенность триггеров потенциального типа состоитввозможностимногоразовогоизменениясостоянияустановленном в единицу сигнале синхроимпульса.триггеровприВ отличие от нихсинхронные триггеры динамического типа изменяют своё состояние только вмомент изменения синхроимпульса из нуля в единицу, либо из единицы вноль.Приустановившемсязначенииединичногосинхроимпульсадинамический триггер не меняет своё состояние при подаче новойкомбинации установочных сигналов.На рис.

Свежие статьи
Популярно сейчас
Как Вы думаете, сколько людей до Вас делали точно такое же задание? 99% студентов выполняют точно такие же задания, как и их предшественники год назад. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5184
Авторов
на СтудИзбе
436
Средний доход
с одного платного файла
Обучение Подробнее