СХЕМ ЛАБ РАБОТА_ 5 (Лабораторная работа - ACTIVE-HDL)

2021-10-24СтудИзба

Описание файла

Файл "СХЕМ ЛАБ РАБОТА_ 5" внутри архива находится в папке "LAB_RAB_s_ACTIVE-HDL". Документ из архива "Лабораторная работа - ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "СХЕМ ЛАБ РАБОТА_ 5"

Текст из документа "СХЕМ ЛАБ РАБОТА_ 5"

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ

РОССИЙСКОЙ ФЕДЕРАЦИИ

_____________

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

____________

НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ УНИВЕРСИТЕТ

МОСКОВСКИЙ ЭНЕРГЕТИЧЕСКИЙ ИНСТИТУТ



Кафедра ВМСиС

Поляков А.К.

Москва 2017





СХЕМОТЕХНИКА

ЛАБОРАТОРНАЯ РАБОТА № 5

Исследование регистров и счетчиков

Код: ____________________

Продолжительность:

160 мин.

Дисциплины:

Схемотехника”,

Предназначено:

Для студентов по направлению информатика и вычислительная техника в соответствии с учебным планом.

.

Цель:

Цель работы состоит в изучении основных характеристик регистров и счетчиков , а также овладение практическими навыками моделирования цифровых схем

Результат обучения:

После успешного завершения занятия пользователь должен уметь: проектировать регистры и счетчики и анализировать их методом моделирования, с использованием средств САПР

Используемые программы:

ACTIVE –HDL фирмы ALDEC(бесплатная студенческая версия) или бесплатная ISE WEB PACK фирмы XILINX

План занятия:

Самостоятельная работа. 180

Задания

Задание I. Исследование счетчиков

В задании предлагается исследовать временные параметры элементов микросхем счетчиков серии кр1533 и варианты организации их структуры .

Варианты заданий соответствуют номеру студента в группе

Пункты:

  1. Построить схему и исследовать работу N-разрядного суммирующего счетчика

Сравнить расчетные задержки счетчика с задержками сигналов на временной диаграмме

Варианты

Тип триггера,используемого в счетчике

N

1

D

3

2

JK

3

3

D

2

4

JK

3

5

D

2

6

D

3

7

JK

2

8

JK

2

9

D

3

2.Построить счетчик на базе микросхемы ИЕ7 серии кр1533 и исследовать его работу.

Определить максимальную частоту синхросигнала, на которой работает счетчик и задержки выходного сигнала по отношению к активному фронту синхросигнала. Сравнить с данными, указанными в справочнике

3. Построить счетчик по произвольному основанию на базе микросхемы ИЕ7 и исследовать его работу.

Варианты

основание

1

2

2

3

3

4

4

5

5

6

6

7

7

8

9

10

4. Используя два 4-разрядных двоичных счетчика, построить схему
8-разрядного суммирующего счетчика. Собрать ее в графическом редакторе и проверить правильность функционирования. Снять осциллограммы работы счетчика на интервале счета 15, 16 и 17 импульсов.

5. Построить счетчик Джонсона на любой из использовавшейся ранее вами или любой из имеющихся в библиотеке СХЕМ-ЛИБ элементной базе и исследовать его работу

Варианты

Число разрядов

1

2

2

3

3

4

4

5

5

2

6

3

7

4

8

5

  1. Общие теоретические сведения.





Счетчики

Счетчиком называется цифровое устройство, предназначенное для счета числа входных импульсов.

Счетчик выполняет следующие операции:

– установка в ноль

– запись входной информации

– хранение

– выдача информации

– инкремент (увеличение хранящегося кода на единицу)

– декремент (уменьшение хранящегося кода на единицу)

– деление частоты входных сигналов

Основные параметры счетчика:

а) Модуль счета (основание счетчика) – M – максимальное число импульсов, после прихода которых счетчик устанавливается в исходное состояние.

б) Основным динамическим параметром является время установления выходного кода – tk – временной интервал между моментом подачи входного сигнала и моментом установления нового кода на выходе.

tk определяет максимально возможную частоту переключения младшего разряда счетчика.

Классификация счетчиков:

1) по величине модуля счета M:

• двоичные счетчики (модуль счета равен степени числа 2) ,

• двоично-кодированные (модуль счета может принимать любое, не равное целой степени числа два, значение).

2) по направлению счета:

• суммирующие (выполняют операцию инкремента),

• вычитающие (выполняют операцию декремента),

• реверсивные (выполняют операции инкремента и декремента).

3) по виду межразрядных связей:

• с последовательным переносом: с непосредственной связью и со

сквозным переносом,

• с параллельным переносом

· с комбинированным переносом.

4) по способу синхронизации

• синхронные (каждый триггер переключается по сигналу C),

• асинхронные (каждый триггер переключается выходным сигналом предыдущего).

Такие счетчики строят чаще всего либо на Т-триггерах, либо на JK-триггерах (в счетном режиме)

5) по способу кодирования:

• двоичные,

• счетчики Джонсона,

• счетчики с кодом "1 из N".

Состояние счетчика считывается по выходам . Входные сигналы поступают на младший разряд.

4.7.1. Счетчики с непосредственной связью.

Счетчик с непосредственной связью между триггерами относится к классу счетчиков с последовательным переносом. Счетчик может быть построен на основе D и JK триггеров, работающих в режиме счетного Т триггера.

С хема счетчика с М = 16 = 24 с непосредственной связью и временная диаграмма его работы приведены на рис. 4.24 и 4.25.

Рис. 4.24. Счетчик последовательный перенос,с непосредственной связью на триггерах типа ТМ2









Рис. 4.25. Временная диаграмма работы счетчика с непосредственной связью

В схеме счетчика нет других элементов, кроме D-триггеров, включенных по схеме счетных Т-триггеров. Состояние Т-триггера меняется на противоположное на каждом фронте импульса, поступающего на вход С.

Сигнал на выходе триггера DD1 младшего разряда Q0 изменяется с частотой, равной половине частоты сигнала CLK. Фронт этой последовательности является сигналом переноса в старший разряд, собранный на триггере DD2. Таким образом, сигнал переноса последовательно передается от младшего разряда к старшему. Это счетчик с последовательным переносом.

Счетчик с непосредственной связью обладает малым быстродействием. Сигнал переноса в худшем случае достигает последнего разряда n за время, равное Tp = n tpTQ, где tpTQ – время задержки сигнала в триггере.

Это видно на временной диаграмме, представленной на рис. 4.26. В промежутках между состояниями 1 и 2, 3 и 4, 5 и 6, 7 и 8 видны недействительные (неправильные) состояния счетчика. Видно, что время, занятое недействительными состояниями, возрастает по направлению к старшему разряду.

Более хорошими свойствами обладает синхронный счетчик с последовательным переносом.









ПРИЛОЖЕНИЕ 1

Отечественные микросхемы и их зарубежные аналоги

Микросхема серии КР1533

Задержка Тср макс.в нс

КР1533ЛИ9- 6 буферных элемента

9

КР1533ЛА3- 4 элемента 2И-НЕ

10

КР1533ЛИ3- 3 элемента 3И

11

КР1533ЛЕ1 – 4 элемента 2ИЛИ-Не

11

КР1533 ЛЛ1- 4 элемента 2ИЛИ

13

КР1533ЛН1- 6 элементов НЕ

10

КР1533ЛИ1- 3 элемента 2И

10



Отечественные микросхемы

Зарубежный аналог

Отечественные микросхемы

Зарубежный

аналог

Дешифраторы

КР***КП12

74***253

КР***ИД4

74***155

КР***КП13

74***298

КР***ИД7

74***138

КР***КП14

74***258

КР***ИД14

74***139

КР***КП15

74***251

Счетчики

КР***КП16

74***157

КР***ИЕ2

74***90

КР***КП17

74***353

КР***ИЕ5

74***93

КР***КП18

74***158

КР***ИЕ6

74***192

КР***КП19

74***352

КР***ИЕ7

74***193

Логические элементы И-НЕ

КР***ИЕ9

74***160А

КР***ЛА1

74***20

КР***ИЕ10

74***161

КР***ЛА2

74***30

КР***ИЕ11

74***162

КР***ЛА3 (2И-НЕ)

74***00

КР***ИЕ12

74***190

КР***ЛА4

74***10

КР***ИЕ13

74***191

КР***ЛА6

74***40А

КР***ИЕ18

74***163

КР***ЛА7

74***22

КР***ИЕ19

74***393

КР***ЛА8

74***01

Регистры

КР***ЛА9

74***03

КР***ИР9

74***165

КР***ЛА10

74***12А

КР***ИР10

74***166

КР***ЛА12

74***37

КР***ИР22

74***373

КР***ЛА13

74***38

КР***ИР23

74***374

КР***ЛА21

74***1000А

КР***ИР24

74***299

КР***ЛА22

74***1020А

КР***ИР29

74***323

КР***ЛА23

74***1003А

КР***ИР30

74***259

КР***ЛА24

74***1010А

КР***ИР32

74***170

Логические элементы ИЛИ-НЕ

КР***ИР33

74***573

КР***ЛЕ1

(2ИЛИ-НЕ)

74***02

КР***ИР34

74***873

КР***ЛЕ4

74***27

КР***ИР35

74***273

КР***ЛЕ10

74***1002А

КР***ИР37

74***574

КР***ЛЕ11

74***33А

КР***ИР38

74***874

Логические элементы И

Мультиплексоры

КР***ЛИ1 (2И)

74***08

КР***КП2

74***153

КР***ЛИ2

74***09

КР***КП7

74***151

КР***ЛИ3

74***11А

КР***КП11

74***257

КР***ЛИ4

74***15А

Логические элементы И

Буферный элемент с ОК

КР***ЛИ6

74***21

КР***ЛП17

74***1035

АЛУ

ИП3

74***181

ИП4

74***182

4-х разр. АЛУ

Сх.ускор.переноса

Окончание табл. П1

Отечественные микросхемы

Зарубежный аналог

Отечественные микросхемы

Зарубежный

аналог

КР***ЛИ8

74***1008А

Лог. эл-т 4И-2ИЛИ-НЕ

КР***ЛИ10

74***1011А

КР***ЛР4

74***55

Логические элементы ИЛИ

Лог. эл-т 2И-2ИЛИ-НЕ

КР***ЛЛ1

74***32

КР***ЛР11

74***51

КР***ЛЛ4

74***1032А

Лог. эл-т 3-2-2-3И-4ИЛИ-НЕ

Логические элементы НЕ

КР***ЛР13

74***54

КР***ЛН1

74***04 (2ИЛИ)

JK-триггеры

КР***ЛН2

74***05

КР***ТВ6

74***107

КР***ЛН7

74***368

КР***ТВ9

74***112А

КР***ЛН8

74***1004

КР***ТВ10

74***113А

КР***ЛН10

74***1005

КР***ТВ11

74***114А

Лог. эл-т Исключающее ИЛИ

КР***ТВ15

74***109

КР***ЛП5

74***86

D-триггеры

Буферный эл-т с 3-мя сост.

КР***ТМ2

74***74

КР***ЛП8

74***125

КР***ТМ7

74***75

Лог. эл-т Исключ. ИЛИ с ОК

КР***ТМ8

74***175

КР***ЛП12

74***136

КР***ТМ9

74***174

Буферный элемент

Триггер с раздельным запуском

КР***ЛП16

74***1034

КР***ТР2

74***279

ПРИЛОЖЕНИЕ 2

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5224
Авторов
на СтудИзбе
428
Средний доход
с одного платного файла
Обучение Подробнее