Диссертация (Методы, алгоритмы и устройства распознавания речи в ассоциативной осцилляторной среде), страница 18
Описание файла
Файл "Диссертация" внутри архива находится в папке "Методы, алгоритмы и устройства распознавания речи в ассоциативной осцилляторной среде". PDF-файл из архива "Методы, алгоритмы и устройства распознавания речи в ассоциативной осцилляторной среде", который расположен в категории "". Всё это находится в предмете "технические науки" из Аспирантура и докторантура, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. , а ещё этот архив представляет собой кандидатскую диссертацию, поэтому ещё представлен в разделе всех диссертаций на соискание учёной степени кандидата технических наук.
Просмотр PDF-файла онлайн
Текст 18 страницы из PDF
VHDL-описания компонентов разработаннойаппаратной реализации блока распознаванияVHDL-описаниеаппаратнойреализацииарифметическогоблокамодуля , описанного в разделе 5.1:library IEEE;use ieee.std_logic_1164.all;entity aom_adder isgeneric (spikes_flow_length: natural:=256);port (CLK, CNT, RST, SRD: in std_logic;DI: in std_logic_vector(spikes_flow_length-1 downto 0);serial_out: out std_logic);end aom_adder;architecture behavior of aom_adder isbeginprocess(CLK)-- кл.
ансамбли "сумматор" ариф. блока:variable sum_buff: std_logic_vector(spikes_flow_length-1 downto 0);beginif rising_edge(CLK) thenif (RST='1') thensum_buff := (others=>'0');serial_out <= '0';elsif (SRD='1') then-- Чтение старшего разряда выходного регистра:serial_out<=sum_buff(spikes_flow_length-1);-- Сдвиг вправо выходного регистра:for i in spikes_flow_length-1 downto 1 loopsum_buff(i):= sum_buff(i-1);end loop;elsif (CNT='1') thensum_buff := DI or sum_buff;end if;end if;end process;end behavior;VHDL-описаниеаппаратнойреализации«Дифференциал»:library IEEE;use ieee.std_logic_1164.all;entity aom_differential isgeneric (charge_size: natural := 4);port (Q, S, CLK, EN, RST: in std_logic;out_q, out_s: out std_logic);end aom_differential;144клеточногоансамбляarchitecture behavior of aom_differential isshared variable queue_q, queue_s: std_logic_vector(charge_size-1 downto 0);beginq_proc: process(CLK)variable q_buf: std_logic;beginif rising_edge(CLK) thenif (EN='1') thenif (RST='1') thenqueue_q:=(others=>'0');out_q <= queue_q(0);elseq_buf := (Q and (not queue_s(charge_size-1))) or((not Q) and(not queue_s(charge_size-1)) andqueue_q(charge_size-1)) or(Qandqueue_q(charge_size-1)andqueue_s(charge_size-1));-- сдвиг замкнутого осциллятора:for i in charge_size-1 downto 1 loopqueue_q(i) := queue_q(i-1);end loop;queue_q(0):=q_buf;out_q <= queue_q(0);end if;end if;end if;end process q_proc;s_proc: process(CLK)variable s_buf: std_logic;beginif rising_edge(CLK) thenif (EN='1') thenif (RST='1') thenqueue_s:=(others=>'0');out_s <= queue_s(0);elses_buf := (S and (not queue_q(charge_size-1))) or((not S) and(not queue_q(charge_size-1)) andqueue_s(charge_size-1)) or(Sandqueue_s(charge_size-1)andqueue_q(charge_size-1));-- сдвиг замкнутого осциллятора:for i in charge_size-1 downto 1 loopqueue_s(i) := queue_s(i-1);end loop;queue_s(0) := s_buf;out_s <= queue_s(0);end if;end if;end if;end process s_proc;end behavior;145Приложение 4.
Акты об использовании результатовдиссертационной работы146.