Диссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов), страница 27

PDF-файл Диссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов), страница 27 Технические науки (19420): Диссертация - Аспирантура и докторантураДиссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и фо2018-01-18СтудИзба

Описание файла

Файл "Диссертация" внутри архива находится в папке "Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов". PDF-файл из архива "Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов", который расположен в категории "". Всё это находится в предмете "технические науки" из Аспирантура и докторантура, которые можно найти в файловом архиве РТУ МИРЭА. Не смотря на прямую связь этого архива с РТУ МИРЭА, его также можно найти и в других разделах. Архив можно найти в разделе "остальное", в предмете "диссертации и авторефераты" в общих файлах, а ещё этот архив представляет собой кандидатскую диссертацию, поэтому ещё представлен в разделе всех диссертаций на соискание учёной степени кандидата технических наук.

Просмотр PDF-файла онлайн

Текст 27 страницы из PDF

Как результат, поверхность подложки должна быть максимальноплоской. В 80-ых годах использовались ртутные лампы с рабочей длиной волны436 нм или 365 нм. Для технологии 250 нм стали использовать эксимерный лазерс длиной волны 248 нм и продолжали его применять вплоть до технологии 180нм. Современные системы используют ArF лазеры с длиной волны 193 нм приработе с критическими слоями на процессах от 45 нм и менее. Критическиминазывают слои, определяющие параметры ИС.

К ним относят слой поликремниядля изготовления затворов, слой диффузии для стока/истока, первый металл ислой контактных площадок. Наименьший шаг затвора, которого можно добитьсяпри числовой апертуре 1.35 и k1 равном 0.5, составляет 2b = 72. Соответственно,полушаг затвора равен 36 нм. Несмотря на то, что современные технологиипозволяют изготавливать рисунки с деталями существенно меньше рабочейдлины волны используемого излучения, процесс литографии для технологий 45нм и менее стал существенно сложнее и дороже.

Много усилий было приложенодля разработки лазера с длиной волны 157 нм, однако, основные игроки нарынке микроэлектроники в итоге отказались от использования этой технологии.Ожидается, что в будущем будут применяться системы на базе источникасвета в сверхглубоком ультрафиолете (англ. extreme ultreviolet, EUV) с длинойволны 13.5 нм. Однако, их применение сегодня ограничивается крайне высокойстоимостью необходимых оптических систем, использование вакуума в качествеокружающей систему среды и недостаточно высокой производительностью дляпроизводственных линий.Длины волн, сравнимые с размерами наносимых деталей рисунка,вызывают искажения шаблона в процессе экспозиции. Различные методы139улучшения разрешающей способности могут быть использованы дляпредварительной компенсации этого эффекта таким образом, что будет полученжелаемый рисунок [121].

Эти техники включают в себя коррекции амплитуды,фазы и угла падения падающего излучения. Концы линий на краю схемыполучают меньше, чем в центре, что приводит к неравномерной экспозиции.Методы коррекции искажений в оптической литографииКоррекция эффектов оптической близости (англ. optical proximity correction,OPC) является одним из способов повышения точности переноса изображенияс маски на подложку.

Целью является улучшение оптических параметровпутем изменения маски. Достигается эта цель таким изменением геометриимаски, которое будет компенсировать искажения, возникающие на этапеэкспонирования. Более формально задачу OPC можно поставить следующимобразом: для заданного шаблона на подложке необходимо найти такую геометриюмаски, которая после этапа литографии сформирует рисунок, минимальноотличающийся от целевого. С этой точки зрения OPC является “обратнойзадачей”, где OPC является процессом, обратным литографии.

Изменения маскиявляются “предкомпенсацией”, которые обеспечивают точность финальногошаблона.Как результат, OPC приводит к более предсказуемым минимальнымразмерам (англ. critical dimensions, CDs) компонентов и положению границобъектов. Более того, OPC позволяет варьировать различные параметры процессалитографии (англ. process window) для повышения качества изготовленияразличных геометрических структур.С практической точки зрения OPC обеспечивает:– Увеличение выхода продукции при изготовлении компонентовминимального размера из-за оптимальных параметров литографическогопроцесса;– Единообразие длин межсоединений, позволяющие увеличивать тактовуючастоту СБИС;140– Введение правил проектирования для компонентов минимальногоразмера.Существует два основных метода выполнения OPC: с использованиемправил и основанный на использовании моделей.

OPC с использованием правилявляется расширением ручного OPC, когда построение маски для всего чипастановится слишком трудозатратным и, как следствие, потребовался метод,позволяющий быстро и систематично работать с большими топологиями.Данный метод полагается на построение таблиц геометрических параметров иприменение определенных OPC процедур к сегментам топологий, основываясьна экспериментальных данных.В работе [122] рассматривается метод получения экспериментальныхданных из симуляции литографического процесса.

Полученные топологиимогут использоваться для уточнения параметров в таблицах. После полученияготовых таблиц, они могут применяться ко всей СБИС сразу, без использованиядополнительной симуляции, что делает данный метод очень быстрым, но неслишком точным. Обработка сложных шаблонов требует построения сложныхтаблиц параметров и отлаженного процесса распознавания шаблонов.

В работе[123] рассматриваются другие особенности процесса определения правил.Анализ того, как вставка засечек, рассеивающих и не рассеивающих компонентоввлияет на разрешающую способность представлен в работе [124].OPC с использованием моделей предлагает способ повышения точностикоррекции масок ценой повышения времени работы метода. Вносимыекорректировки определяются результатами симуляций. При использованиикорректных моделей оптики, фоторезиста и процесса травления, симуляцияпо маске может построить шаблон-результат с высокой точностью.

Первыеалгоритмы OPC с использованием моделей были представлены в работах[125–127]. В работах [128–130] OPC с моделированием представляется какитеративный процесс, в котором геометрические формы корректируютсясогласно предыдущим итерациям алгоритма. При этом скорость работыалгоритма на каждой итерации становится критичной для сокращения общеговремени работы метода, что особенно важно при работе с современнымитехнологическими процессами.В работе [131] рассматривается метод сокращения числа итераций,необходимых для выполнения OPC с использованием иерархических баесовских141моделей. Поиск оптимальных параметров для описания входных данныхвыполняется методом Монте Карло по схеме марковских цепей.

Решения,полученные обсуждаемым методом, оказывались лучше, чем построенниые сиспользованием традиционных подходов, например, линейной и нелинейнойрегрессий. Более того, результаты работы метода с использованием байесовскихмоделе могут быть использованы как начальное приближение для традиционныхподходов, что также сокращает временные затраты на выполнение OPC.За последние годы полупроводниковая индустрия добилась большогопрогресса.

Для того, чтобы упростить процесс литографии при изготовленииИС и увеличит выход годных устройств, можно использовать метод коррекцииэффектов оптической близости с использованием моделей. Данная техникапозволяет повысить точность и аккуратность нанесения изображения.Однако, процесс симуляции литографии является ресурсоемкой процедурой. Вданной работе предлагается модифицированная процедура OPC для внесениякорректировок в маску.

Процедура состоит из трех этапов. На первом этапепредварительно вычисляются параметры каждого шаблона. Затем, топологияразделяется на отдельные участки с целью увеличения производительности и дляпреодоления проблем выравнивания изображения. Наконец, с использованиемрядя формул выполняется поиск проблемных участков топологии. Первые дваэтапа повышают производительность самого алгоритма, в то время как третийэтап повышает качество результирующего изображения.

Экспериментальныерезультаты показывают, что применение рассматриваемого подхода можетсократить усредненную величину ошибки размещения краев (англ. edgeplacement error, EPE) от 259.76 микрометров до 7.24 микрометров [132].В работе [133] рассматривается иной подход к OPC. В процессевыполнения алгоритма вычисляются несколько карт интенсивности освещения ––базовая и компенсирующие. Параметры компенсирующих карт итеративнооптимизируются с последовательным применением нескольких вычислительныхядер и при учете заданных правил проектирования. Для увеличенияпроизводительности предложеного алгоритма оптимизируемая топологиявписывается в дискретную сетку. На заключительном этапе выполняютсявыполняется минимизация числа нарушений правил проектирования.Экспериментальные результаты показывают, что описанные алгоритм помогаетавтоматически разрешить от 50% до 80% нарушений топологии.142Технология множественного шаблона (англ.

multi-patterning) включает всебя различные подходы к изготовлению ИС, разработанные для увеличенияплотности наносимых деталей шаблона. Данная технология считаетсянеобходимой при работе с технология 10 нм, 7 нм и менее. Предполагается,что в рамках данных технологических процессов один шаг экспонирования несможет обеспечить необходимой разрешающей способности.

Таким образомвозникает необходимость в обеспечении нескольких последовательныхшагов экспонирования. Иначе, возникает необходимость в использованиидополнительных ограничителей на этапе травления.Несмотря на то, что EUV литография рассматривается как основная заменафотолитографии, сам процесс вероятно не станет проще. В частности, прииспользовании EUV все еще будет требоваться несколько этапов экспозиции длятого, чтобы сначала нанести несколько линий, а затем разрезать их [134].

Свежие статьи
Популярно сейчас
Как Вы думаете, сколько людей до Вас делали точно такое же задание? 99% студентов выполняют точно такие же задания, как и их предшественники год назад. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5259
Авторов
на СтудИзбе
421
Средний доход
с одного платного файла
Обучение Подробнее