Диссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов), страница 24

PDF-файл Диссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов), страница 24 Технические науки (19420): Диссертация - Аспирантура и докторантураДиссертация (Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и фо2018-01-18СтудИзба

Описание файла

Файл "Диссертация" внутри архива находится в папке "Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов". PDF-файл из архива "Исследование и разработка методов автоматического вывода геометрических ограничений с использованием декларативного программирования и формальных методов", который расположен в категории "". Всё это находится в предмете "технические науки" из Аспирантура и докторантура, которые можно найти в файловом архиве РТУ МИРЭА. Не смотря на прямую связь этого архива с РТУ МИРЭА, его также можно найти и в других разделах. , а ещё этот архив представляет собой кандидатскую диссертацию, поэтому ещё представлен в разделе всех диссертаций на соискание учёной степени кандидата технических наук.

Просмотр PDF-файла онлайн

Текст 24 страницы из PDF

// 30th European Mask and Lithography Conference / International Society for Optics andPhotonics. — 2014.151. Understanding the critical challenges of self-aligned octuple patterning / Ji Yu,Wei Xiao, Weiling Kang, Yijian Chen // SPIE Advanced Lithography / International Society for Optics and Photonics. — 2014.152. First DailyTech IDF09 Intel Demonstrates. 22nm Chips Discusses Die ShrinkRoadmap // URL http://www. dailytech. com/IDF09+ Intel+ Demonstrates+First+ 22nm+ Chips+ Discusses+ Die+ Shrink+ Roadmap/article16312.

htm.153. Lin Burn J. The future of subhalf-micrometer optical lithography // Microelectronic engineering. — 1987. — Vol. 6, no. 1. — Pp. 31–51.154. Despiteeconomicslowdown,Intelontrackwith32nmofwin.—http://arstechnica.com/gadgets/2008/12/despite-economic-slowdown-intel-on-track-with-32nm-of-win/. — Accessed:2016-09-10.155. Immersion liquids for lithography in the deep ultraviolet / Michael Switkes, Roderick R Kunz, Roger F Sinta et al. // Microlithography 2003 / International Societyfor Optics and Photonics.

— 2003. — Pp. 690–699.156. Defectivity in water immersion lithography / U Okoroanyanwu, J Kye, N Yamamoto, K Cummings // Microlithography World. — 2005. — Vol. 14, no. 4. —Pp. 4–7.123157. Implications of immersion lithography on 193-nm photoresists / J Christopher Taylor, Charles R Chambers, Ryan Deschner et al. // Microlithography 2004 /International Society for Optics and Photonics. — 2004. — Pp. 34–43.158. Imaging capabilities of resist in deep ultraviolet liquid immersion interferometriclithography / Alex K Raub, A Frauenglass, SRJ Brueck et al. // Journal of VacuumScience & Technology B.

— 2004. — Vol. 22, no. 6. — Pp. 3459–3464.159. One-Photon Ionization of Liquid Water upon 193nm Laser Irradiation. / Akyhiro Iwata, Nobuaki Nakashima, Yasukazu Izawa, Chiyoe Yamanaka // Chemistryletters. — 1993. — no. 11. — Pp. 1939–1940.160. Pixelated source and mask optimization for immersion lithography / Xu Ma,Chunying Han, Yanqiu Li et al. // JOSA A. — 2013. — Vol. 30, no. 1.

— Pp. 112–123.161. Polarization aberration compensation method by adjusting illumination partial coherent factors in immersion lithography / Yue Jia, Yanqiu Li, Lihui Liu et al. //SPIE/COS Photonics Asia / International Society for Optics and Photonics. —2014.162. High-performance circuit design for the RET-enabled 65-nm technology node /Lars W Liebmann, Arnold E Barish, Zachary Baum et al. // Microlithography2004 / International Society for Optics and Photonics. — 2004. — Pp.

20–29.163. Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source / Y Tao, H Nishimura, S Fujioka et al. // Applied PhysicsLetters. — 2005. — Vol. 86, no. 20. — P. 201501.164. Theoretical RCI Simulation for Spectra Emitted from Sn and Xe Ions as anEUV Light Source / Takashi Kagawa, Katsunobu Nishihara, Akira Sasaki, Fumihiro Koike.165. Comparison of EUV spectral and ion emission features from laser-produced Snand Li plasmas / RW Coons, D Campos, M Crank et al. // SPIE Advanced Lithography / International Society for Optics and Photonics.

— 2010. — Pp. 763636–763636.124166. Excimer lasers for superhigh NA 193-nm lithography / Rainer Paetzel, Hans Albrecht, Peter Lokai et al. // Microlithography 2003 / International Society for Optics and Photonics. — 2003. — Pp. 1665–1671.167. Spectral control of emissions from tin doped targets for extreme ultraviolet lithography / SS Harilal, B O’Shay, MS Tillack et al. // Journal of Physics D: AppliedPhysics. — 2006. — Vol. 39, no. 3. — P. 484.168. Power up: 120 Watt injection-locked ArF excimer laser required for both multipatterning and 450 mm wafer lithography / Takeshi Asayama, Youichi Sasaki,Takayuki Nagashima et al. // SPIE Advanced Lithography / International Societyfor Optics and Photonics. — 2013.169.

EUV lithography: status, future requirements and challenges / Vadim Banine,Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov. — 2013.170. Das Sunil R. A new light source for EUV lithography // Spectrum, IEEE. — 2008.— Vol. 45, no. 3. — Pp. 14–14.171. Chen Frederick T. Asymmetry and thickness effects in reflective EUV masks //Microlithography 2003 / International Society for Optics and Photonics. — 2003.— Pp. 347–357.172.

EUV production insertion: Factors to watch. —https://www.asml.com/euv-is-at-the-cusp-of-being-introduced-in-volume-chip-production-the-industrializatien/s41905?rid=41906. — Accessed: 2016-12-10.173. Hamm Robert, Hamm Marianne. The beam business: Accelerators in industry //Physics Today. — 2011. — Vol. 64, no. 6.174. ASML demonstrates EUV with 130W light source, customers achieving 70 percent uptime. — http://www.dvhardware.net/article62846.html.

— Accessed:2016-05-20.175. Demagnification in proximity x-ray lithography and extensibility to 25 nmby optimizing Fresnel diffraction / Yuli Vladimirsky, Antony Bourdillon,Olga Vladimirsky et al. // Journal of Physics D: Applied Physics. — 1999. —Vol. 32, no. 22. — P. L114.125176. Near-field x-ray lithography to 15 nm / Antony J Bourdillon, Gwyn P Williams,Yuli Vladimirsky, Chris B Boothroyd // Microlithography 2004 / InternationalSociety for Optics and Photonics.

— 2004. — Pp. 546–557.177. Sidewall slopes of SU-8 HARMST using deep X-ray lithography /Kaushal Dhirendra Vora, Bow Yuen Shew, Erol C Harvey et al. // Journalof Micromechanics and Microengineering. — 2008. — Vol. 18, no. 3.178. Early K, Schattenburg ML, Smith Henry I. Absence of resolution degradation inX-ray lithography for λ from 4.5 nm to 0.83 nm // Microelectronic Engineering.— 1990. — Vol. 11, no. 1-4. — Pp. 317–321.179. Broers AN, Hoole ACF, Ryan JM. Electron beam lithography—resolution limits //Microelectronic Engineering. — 1996.

— Vol. 32, no. 1. — Pp. 131–142.180. Secondary electron generation in electron-beam-irradiated solids: resolution limits to nanolithography / Kyu Lee, SM Yoon, SC Lee et al. // J. Kor. Phys. Soc. —2009. — Vol. 55, no. 4. — Pp. 1720–1723.181. Anderson Erik, Chao Weilun. Double exposure makes dense high-resolutiondiffractive optics.182. Dapor Maurizio, Ciappa Mauro, Fichtner Wolfgang.

Monte Carlo modeling in thelow-energy domain of the secondary electron emission of polymethylmethacrylatefor critical-dimension scanning electron microscopy // Journal of Micro/Nanolithography, MEMS, and MOEMS. — 2010. — Vol. 9, no. 2.183. Long-distance charge transport in duplex DNA: the phonon-assisted polaronlike hopping mechanism / Paul T Henderson, Denise Jones, Gregory Hampikianet al. // Proceedings of the National Academy of Sciences. — 1999. — Vol. 96,no.

15. — Pp. 8353–8358.184. Seiler H. Secondary electron emission in the scanning electron microscope // Journal of Applied Physics. — 1983. — Vol. 54, no. 11.185. Measurement of the role of secondary electrons in EUV resist exposures. — http://www.euvlitho.com/2013/P29.PDF. — Accessed: 2016-05-20.126186.

Liddle JA, Gallatin GM, Ocola LE et al. Resist requirements and limitations fornanoscale electron-beam patterning. — 2003.187. The inclusion of secondary electrons and Bremsstrahlung X-rays in an electronbeam resist model / VV Ivin, MV Silakov, DS Kozlov et al. // Microelectronicengineering. — 2002. — Vol. 61. — Pp. 343–349.188. Novel proximity effect including pattern-dependent resist development in electronbeam nanolithography / Kenji Yamazaki, Kenji Kurihara, Toru Yamaguchi et al. //Japanese journal of applied physics. — 1997.

— Vol. 36, no. 12S.189. Influence on the secondary electron yield of the space charge induced in an insulating target by an electron beam / Raphaël Renoud, C Attard, JP Ganachaudet al. // Journal of Physics: Condensed Matter. — 1998. — Vol. 10, no. 26.190. Technology mapping with Boolean matching, supergates and choices /Alan Mishchenko, Satrajit Chatterjee, Robert Brayton et al. — 2005.191. Vujkovic Miodrag, Sechen Carl. Optimized power-delay curve generation forstandard cell ICs // Proceedings of the 2002 IEEE/ACM international conferenceon Computer-aided design / ACM. — 2002.

— Pp. 387–394.192. Correia Vinícius, Reis André. Advanced technology mapping for standard-cellgenerators // Proceedings of the 17th symposium on Integrated circuits and systemdesign / ACM. — 2004. — Pp. 254–259.193. DAG based library-free technology mapping / Felipe S Marques, LS Rosa Jr, Renato P Ribas et al.

// Proceedings of the 17th ACM Great Lakes symposium onVLSI / ACM. — 2007. — Pp. 293–298.194. Н.В. Рыженко, А.А. Сорокин. Алгоритм размещения транзисторовстандартных ячеек // Проблемы разработки перспективных микро- инаноэлектронных систем - 2014. Сборник трудов. — 2014. — № 1. —С. 133–136.195. Riepe Michael, Sakallah Karem. Transistor placement for noncomplementary digital VLSI cell synthesis // ACM Transactions on Design Automation of ElectronicSystems (TODAES). — 2003. — Vol. 8, no.

Свежие статьи
Популярно сейчас
Зачем заказывать выполнение своего задания, если оно уже было выполнено много много раз? Его можно просто купить или даже скачать бесплатно на СтудИзбе. Найдите нужный учебный материал у нас!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5304
Авторов
на СтудИзбе
416
Средний доход
с одного платного файла
Обучение Подробнее