Функциональные узлы ([МиС] Лабораторная №3), страница 3

2017-12-28СтудИзба

Описание файла

Файл "Функциональные узлы" внутри архива находится в папке "[МиС] Лабораторная №3". Документ из архива "[МиС] Лабораторная №3", который расположен в категории "". Всё это находится в предмете "микроэлектроника и схемотехника (мис)" из 5 семестр, которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "лабораторные работы", в предмете "микроэлектроника и схемотехника" в общих файлах.

Онлайн просмотр документа "Функциональные узлы"

Текст 3 страницы из документа "Функциональные узлы"

Регистр, изображенный на рис.16, за одну посылку управ­ляющих импульсов , обеспечивает сдвиг информации на один разряд вправо. Для сдвига на m разрядов требует­ся m таких посылок. Две по­следовательности управляющих-сигналов , можно за­менить одной . соединив шину с шиной через ин­вертор. При использовании интег­ральных триггеров с двухступен­чатым запоминанием информации или триггеров с динамическим управлением записью схемы регистров сдвигов приобретают более простой вид (рис. 17). Выходы одних триггеров непо­средственно соединяются о входами других, а сигналы сдвига подаются на общую линию, соединенную с синхровходами С триггеров. Сдвиг кода здесь осуществляется каждым управляющим импульсом, поэтому такие схемы называют регистрами с однотактным сдвигом.

В случае применения триггеров с путям динамическим управле­нием (рис, 176) состояние регистра изменяется от положительного
фронта сигнала сдвига, как показано пунктиром на рис. 17г, в других случаях - от отрицательного фронта. :

Задание и порядок проведения работы

Пользуясь исходными данными, приведенными в табл. 3, на­
чертить функциональную схему четырехразрядного регистра сдвига,
имеющего обратную связь с инверсного выхода 4-го разряда на вход
1-го. При сдвиге числа вправо разряды регистра следует нумеровать
слева направо, а при сдвиге влево - справа налево. На схеме ука­зать две входные линии: для подачи одиночного сигнала установки
начального состояния регистра по входам и триггеров и для
подачи сигналов сдвига.

Ознакомиться с лицевой панелью учебного макета. Собрать
схему регистра сдвига из элементов макета.

Примечание. При оборке схемы на макете можно рассматривать незадействованный вход как вход, на который подан сигнал логиче­ской единицы. .

Проверить цепь установки начального состояния. Для этого на линию сигналов сдвига подать нулевой потенциал. Выход генератора импульсов соединить с гнездом «Синхр.» формирователя одиночного импульса. Одиночный Импульс отрицательной полярности, формируемыми на выходе формирователя при нажатии кнопки, подать на линяв установки начального состояния. Для контроля состояния регистра к выходу каждого разряда подключить световой индикатор.

Пользуясь схемой, приведенной на ряс. 18, собрать узел формирования управляющих сигналов начальной установки z сдвига Соединить источники управляющих сигналов с соответствующими вхо­дами регистра сдвига. Для запуска регистра нажать кнопку формирователя одиночного импульса. С помощью осциллографа просмотреть сигналы на выходе каждого разряда регистра. Проверить работу схемы, сравнив наблюдаемые осциллограммы с диаграммами, построенными при самостоятельной подготовке.

е

3. Определить минимальную длительность импульса сдвига.
Пользуясь схемой на рис. 18, собрать узел формирования импульсов
сдвига . Для формирования импульсов короткой длительности в
качестве линии задержки I можно использовать цепь последователь­
но включенных логических элементов (например, И-НЕ). При этом
полярность сигналов на входе и выходе линии задержки должна быть
одинаковой. Изменяя длину цепи, сформировать импульс мини­мальной длительности, обеспечивающий устойчивую работу регистра сдвига. Измерить .параметры импульса сдвига.

По функциональной схеме триггера, приведенной в приложении, рассчитать длительность переходного процесса, обусловленного действием сигнала Задержку переключения одного базового элемента триггера считать равной 15 не. Сравнить результаты теоретической оценки и экспериментальных измерений.

4. Определить максимальную рабочую частоту регистра сдвига.
Пользуясь схемой на рис. 18, собрать узел формирования импульсов
сдвига . Изменяя значение задержки 2, установить минимальный
интервал следования импульсов сдвига , при котором сдвиговый
регистр функционирует устойчиво. С помощью осциллографа измерить
период следования сдвиговых импульсов и рассчитать максимальную

рабочую частоту. Результата измерений сравнить с расчетной оценкой.

5. Составить отчет.

Требования к отчету

Отчет должен содержать электрическую функциональную схему регистра сдвига, временные диаграммы сигналов регистра сдвига с указанием параметров управляющих сигналов, расчетные и экспери­ментальные данные для оценки быстродействия регистра.

Контрольные вопросы:

1. Что называется регистром? Какие функции выполняю регистры?

Как классифицируются регистры по способу ввода-вывода
информации?

Как работает параллельный регистр с однофазным пара-
фазным приемом информации?

Какие типы триггеров применяется в регистрах сдвига?

5. Как работает двухтактный регистр сдвига на RS -тригге­рах?'

Как работает регистр сдвига, выполненный на триггерах c
двухступенчатым запоминанием информации?

Как работает регистр сдвига на триггерах о динамически
управлением записью?

Работа N 3. ИССЛЕДОВАНИЕ СЧЕТЧИКОВ

Цель работы - изучение принципов построения счетчиков, овладение методом синтеза синхронных счетчиков, экспериментальная
оценка динамических параметров счетчиков.

Во время самостоятельной подготовки к работе необходимо оз­накомиться с теоретическими сведениями, изучить метод синтеза синхронных счетчиков, синтезировать двоично-десятичный счетчик с заданными состояниями, подготовить по каждому пункту раздела «Задание и порядок выполнения работы» расчетные и теоретические материалы, электрические функциональные схемы исследуемых счет­чиков и временные диаграммы сигналов синтезированного двоично-десятичного счетчика. Перед началом работы предъявить преподава­телю рабочие материалы для проверки и обсуждения. После выпол­нения работы студенты обязаны представить преподавателю аккурат­но оформленный отчет.

Экспериментальная часть работы проводится на базе учебного макета. Вначале проводится сборка счетчика из элементов макета, затем исследование его характеристик с помощью осциллографа,

Продолжительность работы -4 часа.

Теоретические сведения

Счетчиком называется узел, предназначенный для подсчета ко­личества импульсов, поступивших на его вход, а также для формиро­вания в хранения двоичного кода, соответствующего этому количест­ву. Счетчик, содержащий N триггеров, может иметь устой­чивых состояний. Число M характеризующее предельное число устойчивых состояний счетчика, называется модулем счета (или коэффициентом пересчета). После поступления на вход счетчика M импульсов в счетчике устанавливается первоначальное состояние.

По назначению различает суммирующие вычитающие и реверсив­ные счетчики. Суммирующие счетчики выполняют микрооперацию счета вида , вычитающие счетчики - микрооперацию счета ви­да . Реверсивные счетчики реализуют оба указанных вида счета.

По способу переключения триггеров во время счета счетчики разделяются на асинхронные и синхронные. В асинхронных каждый триггер срабатывает сразу после поступления на его входы управ­ляющих сигналов. В синхронных переключение триггеров происходит в момент прихода синхронизирующего сигнала. При этом переход триггеров из одного состояния в другое зависит от значений сигналов на управляющих входах. Таким образом, при изменении состоянии асинхронного счетчика переключение триггеров происходит последовательно во времени, в синхронном счетчике этот процесс протека­ет одновременно во всех разрядах.

По способу организации переноса различают счетчики о после­довательным, сквозным параллельным и групповыми переносами.

Для построения счетчиков могут быть использованы интеграль­ные триггеры разных типов: T,D,DV,JK с внутренней задер­жкой, имеющие двухступенчатую структуру, а также D,DV,JK с прямым и инверсным динамическим управлением. В счётчиках, по­строенных на двухступенчатых триггерах, изменение состояний про входит по окончания счетного: импульса. В счетчиках, построенных на триггерах с прямым динамическим управлением, изменение состояний происходит от положительного фронта сметного импульса;

если использованы триггеры с инверсным динамическим управлением - от отрицательного фронта.

Быстродействие счетчика характеризуется следующими парамет­рами: временем задержки распространения сигнала в счетчике

максимальной и рабочей частотами сигналов, поступающих на счетный вход счетчика.

Время задержки распространения сигнала

в счетчике - интервал времени между входным и выходными сигнала­ми при переходе напряжения на выходе счетчика от к . (или от к ), измеренный на уровне 0,5 логического перепада входного и выходного сигналов.

Разрешающее время счетчика — наименьший интервал време­ни между входными импульсами минимальной длительности, при кото­ром сохраняется нормальная работа счетчика.

где - максимальное из времен переходов выходного логического элемента триггера из состояния ”0” в состояние “1” или обратно.

Так как в процессе работы требуется анализировать состояние
счетчика и проводить съем и передачу выходного кода счетчика в
другие узлы, то период Т работы счетчика должен включать в себя
время tc4 считывания кода.

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5167
Авторов
на СтудИзбе
438
Средний доход
с одного платного файла
Обучение Подробнее