СХЕМ ЛАБ РАБОТА _7 (Лабораторная работа - ACTIVE-HDL)

2021-10-24СтудИзба

Описание файла

Файл "СХЕМ ЛАБ РАБОТА _7" внутри архива находится в папке "LAB_RAB_s_ACTIVE-HDL". Документ из архива "Лабораторная работа - ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "СХЕМ ЛАБ РАБОТА _7"

Текст из документа "СХЕМ ЛАБ РАБОТА _7"

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ

РОССИЙСКОЙ ФЕДЕРАЦИИ

_____________

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

____________

НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ УНИВЕРСИТЕТ

МОСКОВСКИЙ ЭНЕРГЕТИЧЕСКИЙ ИНСТИТУТ



Кафедра ВМСиС

Поляков А.К.

Москва 2017





СХЕМОТЕХНИКА ЛАБОРАТОРНАЯ РАБОТА 7

Исследование элементов ПЛИС и АЛУ .

Код: ____________________

Продолжительность:

160 мин.

Дисциплины:

Схемотехника”,

Предназначено:

Для студентов по направлению информатика и вычислительная техника в соответствии с учебным планом.

.

Цель:

Цель работы состоит в ознакомлении с составом элементов современных Программируемых Интегральных Логических Схем(ПЛИС), в изучении основных характеристик их функциональных узлов,а также овладение практическими навыками

моделирования цифровых схем,

Результат обучения:

После успешного завершения занятия пользователь должен знать особенности ПЛИС и :

анализировать их методом моделирования,

Используемые программы:

ACTIVEHDL фирмы ALDEC(бесплатная студенческая версия) или бесплатная ISE WEB PACK фирмы XILINX

План занятия:

Самостоятельная работа. 180

Задания

Задание 0

В лаб.работе №2 вы уже выполняли реализацию этой функции, но использовали традиционный логический базис микросхем серии кр1533. Теперь перепроектируйте в базисе ПЛМ.

Построить схему в базисе ПЛМ, определить число коньюнкций и дизьюнкций.

Варианты заданий

Логическая ФУНКЦИЯ

1

Определяет ,Y=1 если число в диапазоне 1-10 простое или нет? ( простые числа 2,3,5,7)

2

Определяет число единиц в трехразрядном двоичном коде( например в коде 011-

2 единицы)

3

Определяет позицию самой левой 1 в трехразрядном двоичном коде (например в коде 011

номер левой 1 равен 2)

4

Мажоритарная функция 2 из трех

5

Определяет число нулей в трехразрядном двоичном коде

6

Сдвигает трехразрядный двоичный код влево на 1 разряд

7

Определяет двухразрядное двоичное число А больше двухразрядного числа В ,или нет

8

Определяет двухразрядное двоичное число А равно двухразрядному числу В ,или нет

9

Определяет Y=1 если число в диапазоне 1-10 не простое ( простые числа 2,3,5,7)

Например.Нужно построить одноразрядный сумматор на Элементах ПЛМ- матрица И и матрица ИЛИ.

ВХ- А,В,С. Вых- S,Cout

Для суммы берем прямую реализацию СДНФ Y= (A and not B and not C) or (not A and B and not C) or (

Not A and not B and C) or (A and B and C)

Схема выработки суммы занимает

4 строки матрицы И( коньюнкций) и один столбец матрицы или

Задание 1. Исследование элементов ПЛИС типа FPGA

В соответствии с вариантом задания реализовать на LUT нужную

функцию и проверить правильность ее реализации методом моделирования.

В составе библиотеки моделей , полученных учащимся есть элементы LUT_3( на три входа) и LUT_4( на 4 входа)

Надо рассчитать значение битовой строки, которая должна заноситься в ПЗУ элемента LUT и перед моделирование заменить стандартное значение параметра init ,соответствующее функции LUT_3 на рассчитанное. Разработать модель схемы и проверить временную диаграмму на соответствие заданной функции.

Варианты заданий 1

варианта

Функция

1

2

3ИЛИ

3

3И-НЕ

4

3ИЛИ-НЕ

5

3XOR

6

3XNOR

7

Одноразр сумматор-сумма

8

Одноразр сумматор-перенос

9

3ИЛИ

Пояснение к заданию - используйте в библиотеке графических элементов элементы с именами LUT2, LUT3, LUT4 , меняя значение их стандартного параметра init на значение нужного двоичного кода , заносимого в ПЗУ. Например для программирования LUT2 на выполнение функции 2И нужно занести в ПЗУ двоичный код 1000, соответственно “1000”,где 1 в ячейке с самым старшим адресом. При этом придется сохранять файлы LUT с измененными параметрами под новыми именами, компилировать и т.п. ( см инструкцию по изменению параметров блоков)

Задание 2. Проектирование и верификация простой комбинационной схемы на LUT

В работе №2 вы уже выполняли реализацию этой функции, но использовали традиционный логический базис. Теперь перепроектируйте в LUT-ах.

Построить схему,покрыть элементами LUT,верифицировать проект схемы моделированием

Варианты заданий

Логическая ФУНКЦИЯ

1

Определяет ,Y=1 если число в диапазоне 1-10 простое или нет? ( простые числа 2,3,5,7)

2

Определяет число единиц в трехразрядном двоичном коде( например в коде 011-

2 единицы)

3

Определяет позицию самой левой 1 в трехразрядном двоичном коде (например в коде 011

номер левой 1 равен 2)

4

Мажоритарная функция 2 из трех

5

Определяет число нулей в трехразрядном двоичном коде

6

Сдвигает трехразрядный двоичный код влево на 1 разряд

7

Определяет двухразрядное двоичное число А больше двухразрядного числа В ,или нет

8

Определяет двухразрядное двоичное число А равно двухразрядному числу В ,или нет

9

Определяет Y=1 если число в диапазоне 1-10 не простое ( простые числа 2,3,5,7)

Например.Нужно построить одноразрядный сумматор на ЛУТ.

ВХ- А,В,С. Вых- S,Cout

Достаточно двух ЛУТ

Вых первой S,вых второй-Cout.

Таблица первой ЛУТ 10010110

Задание 3. Исследование АЛУ на примере микросхемы ИП3 ( 74хххLS181)

Согласно варианту задания сначала сравните , потом сложите два числа, потом вычтите и проверьте результат моделированием .

В данном случае надо подать на числовые входы соответствующие двоичные константы,а на управляющие- нужный код операции.

Придется создать новый схемный файл. Если не использовать блоков- генераторов, а применять средства редактора временных диаграмм для задания входных сигналов , то достаточно указать только имена выходных сигналов, вызвать ( список справа на экране) блок LS181 и поставить его в поле схемы, соединить его выходы с указанными ранее ,скомпилировать, инициализировать модель с главным модулем LS181,вызвать просмотрщик временных диаграмм , переслать в него имена сигналов. Вызывая редактор временных диаграмм надо задать диаграммы сигналов на входах и запустить моделирование.( см инструкции по редактору временных диаграмм, просмотрщику диаграмм и т.д.).В справочниках по этой микросхеме приводятся два варианта ее работы- в положительной и отрицательной логике. Проверьте в какой логике следует работать на примере сложения.

Варианты заданий

№ варианта

Первое число

Второе число

1

2

2

2

3

1

3

1

3

4

5

3

5

4

10

6

7

1

7

3

3

8

1

1

9

2

3

Задание 4. Проектирование простого АЛУ

Спроектируйте простое АЛУ и проверьте его работоспособность моделированием

Код операции KOD-3 разряда.Коды операций:

И-001,ИЛИ-010,+ 011,-100,И-НЕ-101,ИЛИ-НЕ-110, XOR-111,НЕ-000.

Операнды- двухразрядные коды А и В.

Варианты заданий

№ варианта

Операции

1

И,+

2

ИЛИ,-

3

И-НЕ, ИЛИ-НЕ

4

ИЛИ-НЕ,+

5

XOR,НЕ

6

И,ИЛИ-НЕ

7

ИЛИ,НЕ

8

И-НЕ,+

9

XOR,ИЛИ

  1. Общие теоретические сведения.

ПРОГРАММИРУЕМЫЕ ЛОГИЧЕСКИЕ ИНТЕГРАЛЬНЫЕ СХЕМЫ (ПЛИС)_

Программируемые логические интегральные схемы (ПЛИС) – в англоязычной терминологии PLD(Programmable Logic Device)– одно из перспективных направлений развития элементной базы современной цифровой, а в последнее время и аналого– цифровой электроники Их применение дает разработчику возможность быстро и с малыми затратами создавать сложные устройства, многократно менять и совершенствовать их функции в ходе отладки путем перепрограммирования функций и связей элементов .

Преимущества и недостатки применения ПЛИС

В настоящее время наиболее распространены две архитектуры ПЛИС : СPLD и FPGA (Field Programmable Gate Array). Основными производителями ПЛИС являются американские фирмы XILINX и ALTERA. Фирма XILINX немного (примерно на год), идет впереди и в дальнейшем мы в качестве примеров будем ссылаться на продукцию этой фирмы. Тем более, что в соответствии с программой помощи университетам (XUP–Xilinx University Program) фирма XILINX бесплатно снабжает учебные заведения полной версией своих систем автоматизации проектирования ПЛИС ISE(Integrated Software Environment) и VIVADO.

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5192
Авторов
на СтудИзбе
433
Средний доход
с одного платного файла
Обучение Подробнее