МОДЕЛИРОВАНИЕ схем в ACT_HDL (Методички для ACTIVE-HDL), страница 2

2021-10-24СтудИзба

Описание файла

Файл "МОДЕЛИРОВАНИЕ схем в ACT_HDL" внутри архива находится в папке "МЕТОДИЧКИ_для_ACTIVE-HDL". Документ из архива "Методички для ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "МОДЕЛИРОВАНИЕ схем в ACT_HDL"

Текст 2 страницы из документа "МОДЕЛИРОВАНИЕ схем в ACT_HDL"

Потом он соединил его вх и выходы с портами схемы ,формируя рисунок схемы повторителя(рис.14)

РИС.14.Схема после соединения входов и выходов элемента LI1 c портами Х и У.

Далее пользователь сохранил файл ( file-save)

Далее проверить правильность составления рисунка схемы

Diagram- design rule check (рис.15)

Рис.15.

Если все верно , надо скомпилировать программу



(design-compile) рис.15

РИС.15.

Если в окне консоли(рис.16) нет сообщений красного цвета- и 0 ошибок то можно приступать к моделированию

Рис.16.

После этого можно приступать к созданию тестбенча и моделированию.

В данном примере входные сигналы ,подаваемые на вход Х генерируются средствами редактора входных диаграмм САПР

Сначала модель инициализируется. ( рис.17) simulation- initialise

Рис.17.

Проследите,чтобы главным модулем был ваш текущий модуль pol2( это видно в левом верхнем углу экрана).

Список внешних сигналов модуля проекта виден слева ( это Х и У)

Вызываю просмотрщик временных диаграмм кнопкой

Появляется окно просмотрщика рис.18

Рис.18

Переношу в него сигналы – выделив их имена в списке слева и нажав правую кнопку мыши и выбрав add to waveform-рис.19

Рис.19





Рис.20



Для сигнала Х надо задать временную диаграмму

Выбираю его в списке слева,нажимаю правую кнопку мышки

Рис.21

Выбираю SIMULATORS

и появляется окно редактора диаграмм входных сигналов

Рис.23

Выбираю генератор периодических сигналов (clock)

Рис.24







Если надо,меняю период и скважностьгенератора так, чтобы согласовать с задержками сигалов в схеме. Applay

Приступаю к молделированию.увеличив время моделирования

До 1000 нс

RUN FOR

Рис.25



Появляется диаграмма сигналов повторителя.



Рис 26





3.Краткое описание инструментальных панелей схемного редактора

(материал является доработкой пособия ,разработанного в донецком политехе http://hardclub.donntu.org/methods/nkd/nkd_mu/GRAFEDIT/ Кнопки главной панели

Ниже фрагмент панели с пиктограммами действий

Кнопка Функция

Вырезает выбранные фрагменты блок-диаграммы и перемещает их в буфер обмена.
Копирование выбранных элементов блок-диаграммы в буфер обмена.
Вставка элементов блок-диаграммы из буфера обмена и помещение их в верхний левый угол диаграммы.
Отмена последней операции редактирования.
Восстановление последней отмененной операции.
Режим увеличения масштаба.
Панорамный режим.
Увеличение масштаба.
Уменьшение масштаба.
Изменение размера окна к полному содержанию схемы.
Изменение размера окна

Генерация VHDL кода из блок-схемы.
Просмотр кода VHDL, сгенерированного из схемы.

Кнопки панели элементов схемы

Кнопка Функция

Режим выбора.

Режим рисования функционального блока(FUB)

Режим рисования графа переходов автомата

Режим выбора символа элемента из списка доступных

Режим рисования проводников.

Режим рисования шины.

Режим добавления текста.

Режим размещения портов- выбором из списка показанного ниже

Размещение входного порта.

Размещение выходного порта.

Размещение двунаправленного порта.

Размещение буферного порта.

Размещение входного шинного порта.

Размещение выходного шинного порта.

Размещение двунаправленного шинного порта.

Размещение буферного шинного порта.

Выбор информации,добавляемой в Заголовок модуля проекта - объявление дополнительной библиотеки, вставленной в заголовок vhdl-кода проекта,непример . - выбор из списка символа земли,источника питания и тп

Выбор режимов рисования из нижеследующего списка

Режим добавления текста.

Режим линии.

Режим рисования прямоугольника.

Режим рисования эллипса.

Режим рисования дуги.

4. Размещение элементов схемы и вычерчивание межсоединений.

Для каждого элемента создаваемой схемы выполняются следующее.

.Извлечение элемента из библиотеки.

После нажатия кнопки открывается панель инструментов символов (Symbol Toolbox). Щелчок правой кнопкой мыши на пустом пространстве этой панели откроет список библиотек VHDL, выберите среди них нужную библиотеку. Теперь в панели инструментов символов (Symbol Toolbox) доступны символы логических элементов.

. Извлеченный элемент помещается в схему методом перетаскивания его из панели инструментов в рабочее пространство схемного редактора.

. Вычерчивание межсоединений.

Схемный редактор позволяет вычерчивать два типа межсоединений: одиночные проводники (Wire) и групповые, объединенные в шину (Bus).

Рисование и редактирование проводников и шин в значительной степени управляется теми же самыми правилами. 3.1. Рисование новых проводников

Имеются два метода рисования проводников. Первый метод основан на последовательных щелчках, а другой требует, чтобы Вы удерживали кнопку мыши при рисовании.

Рисование нового проводника с использованием метода последовательных щелчков.

1. Переключитесь в режим рисования проводников.


2. Щелкните, где Вы хотите начать рисовать проводник. См. ПРИМЕЧАНИЯ ниже, чтобы узнать, где проводник может начинаться.


3. Переместите указатель к точке, где Вы хотите закончить проводник. При перемещении указателя, временная линия проводника будет протянута между началом проводника и текущим положением указателя. Если Вы хотите прикрепить угол на выводимом проводнике, щелкните кнопкой мыши.


4. Щелкните, где Вы хотите закончить проводник. Если Вы хотите закончить проводник в пустом месте диаграммы, Вы должны дважды щелкнуть вместо одного щелчка.


5. Чтобы нарисовать другой проводник, повторите шаги 2-4.

Рисование нового проводника с использованием метода с нажатой кнопкой мыши.

1. Переключитесь в режим рисования проводника.


2. Переместите указатель к точке, где Вы хотите начать рисовать проводник, и затем нажмите кнопку мыши. См. ПРИМЕЧАНИЯ ниже, чтобы узнать, где проводник может начинаться.


3.Удерживая кнопку мыши, переместите указатель к точке, где Вы хотите закончить проводник. Когда Вы перемещаете указатель, временная линия проводника будет протянута между началом проводника и текущим положением указателя. Чтобы прикрепить угол на выводимом проводнике, нажмите пробел при все еще нажатой кнопке мыши.


4. Отпустите кнопку мыши, чтобы закончить проводник. См. ПРИМЕЧАНИЯ ниже, чтобы узнать, где проводник может закончиться.


5. Чтобы нарисовать другой проводник, повторите шаги 2-4.

ПРИМЕЧАНИЯ:

Проводник может начинаться и заканчиваться в одном из следующих мест:

В пустом месте диаграммы - конец проводника будет висящим.


На штырьке, или контуре - проводник будет соединен с объектом.


На шине - проводник будет соединен с шиной через ответвление шины.


На висящем конце другого проводника - нарисованный проводник объединится с существующим проводником.


На другом проводнике - соединение будет вставлено, и нарисованный проводник сформирует новый проводник. Обратите внимание, если Вы подключаете два проводника таким образом, Вы получите замкнутые цепи.


Если опция включена, редактор автоматически выбирает маршрут проводника так, чтобы он не наложился на символы, помещенные в диаграмму.

 

Автоматическая маршрутизация (autorouting) доступна только, если Вы рисуете проводник, используя метод с нажатой кнопкой мыши.

3.2.Рисование новых шин.

Рисование шин принципиально не отличается от рисования проводников. Имеются те же два метода рисования, что и для проводников. Отличие лишь в том, что вначале выбирается режим рисования шин.

Редактирование схемы.

Удаление и изменение отдельных элементов схемы (объектов) выполняется с помощью курсора мыши и контекстного меню, вызываемого нажатием на правую кнопку мыши, а также при помощи кнопок панелей инструментов, описанных выше.

 

В результате в схемном редакторе получатся примерно такие схемы:



Схема переноса в одноразрядном сумматоре.

 

 

Схема четырехразрядного сумматора с последовательным переносом,  состоящая из четырех блоков переноса(per) и четырех блоков одноразрядных сумматоров(sum)

 

 

 

 

 

 

 

 

 

 

 

Схема сумматора и переноса вместе.

. Проверка схемы.

Полученную схему необходимо проверить на наличие схемотехнических ошибок

Скомпилировать полученный код, используя команду Design/Compile .

5. МОДЕЛИРОВАНИЕ

5.1Запуск среды моделирования.

Пункт Initialize из меню Simulation выполняет запуск и инициализацию среды моделирования. При необходимости укажите какой модуль является главным. Появляется в правом углу вверху его имя и ниже список вх и вых сигналов модуля.

Нажатие кнопки на панели инструментов или выбор команды New Waveform из меню File открывает новое окно просмотрщика временных диаграмм Waveform.

5.2. Задание отображаемых на временной диаграмме сигналов объекта проектирования.

В окне в списке слева.появившемся после инициализации модели , выбрать синал, щелкнуть правой кнопкой мыши, из возникшего контекстного меню выбирается пункт Add to Waveform (добавить сигнал в диаграмму ).

Свежие статьи
Популярно сейчас
Как Вы думаете, сколько людей до Вас делали точно такое же задание? 99% студентов выполняют точно такие же задания, как и их предшественники год назад. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5301
Авторов
на СтудИзбе
416
Средний доход
с одного платного файла
Обучение Подробнее