МОДЕЛИРОВАНИЕ схем в ACT_HDL (Методички для ACTIVE-HDL)

2021-10-24СтудИзба

Описание файла

Файл "МОДЕЛИРОВАНИЕ схем в ACT_HDL" внутри архива находится в папке "МЕТОДИЧКИ_для_ACTIVE-HDL". Документ из архива "Методички для ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "МОДЕЛИРОВАНИЕ схем в ACT_HDL"

Текст из документа "МОДЕЛИРОВАНИЕ схем в ACT_HDL"

41


МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ

РОССИЙСКОЙ ФЕДЕРАЦИИ

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

____________

МОСКОВСКИЙ ЭНЕРГЕТИЧЕСКИЙ ИНСТИТУТ

А.К. ПОЛЯКОВ

МОДЕЛИРОВАНИЕ ЦИФРОВЫХ УЗЛОВ

В САПР ACTIVE-HDL

Методическое пособие по курсу «Схемотехника ЭВМ» для студентов, обучающихся по направлению "Информатика и вычислительная техника".

Москва Издательство МЭИ 2016

Представлено методическое пособие для лабораторных работ по курсу "Схемотехника ЭВМ". Оно посвящено моделированию цифровых узлов на языке VHDL в интегрированной среде Active-HDL.

Методическое пособие может использоваться студентами при подготовке расчетных заданий по курсам "Схемотехника ЭВМ",»Моделирование цифровых устройств», "Запоминающие устройства ЭВМ", "Современные средства проектирования цифровых систем", "Микропроцессорные системы", в курсовом и дипломном проектировании.

Предназначено для студентов, обучающихся по направлению 230100 "Информатика и вычислительная техника".

© Московский энергетический институт , 2016

ВВЕДЕНИЕ

Современным международным стандартом описания цифровой аппаратуры (Hardware Description Language - HDL) являются языки высокого уровня VHDL и VERILOG [1 – 6]. Используя эти языки, можно описать цифровое устройство, а затем провести логическое моделирование работы этого устройства на компьютере. Добившись правильного функционирования модели можно перейти к следующему этапу проектирования – созданию топологии интегральной схемы или конфигурации программируемой логической интегральной схемы (ПЛИС). Разработано несколько популярных систем автоматизированного проектирования (САПР), в частности пакет Active-HDL (www.aldec.com), позволяющий разрабатывать описание цифровых устройств на языках VHDL и VERILOG, а затем проводить логическое моделирование их на компьютере.

. Для облегчения работы пользователей используются готовые библиотеки моделей логических элементов. В например в лабораторном практикуме МЭИ это модели элементов, являющихся компонентами микросхем серии кр1533. Можно элементы библиотеки обозначать латинскими символами , соответствующими именам микросхем , например элемент 2И-НЕ обозначать как LA3 или как NAND_2. При построении схем из этих элементов можно строить их VHDL- описания в виде текста, либо использовать графический редактор САПР. В курсе СХЕМОТЕХНИКА используются графические средства, в курсе МОДЕЛИРОВАНИЯ-текстовые.

При этом внутри каждого способа описания схем и моделирования имеются различные варианты.

.

ГРАФИЧЕСКИЙ ( БЛОК_ДИАГРАММНЫЙ) СПОСОБ–

он предполагает минимальные знания по языку VHDL и в основном используется студентами , изучающим курс «СХЕМОТЕХНИКА ЭВМ»

Вариант 1 организации модельного эксперимента

-.- Он наиболее близкий к физическому эксперименту на лабораторных стендах, выполняемому обычно студентами- очниками. Они согласно заданию проектируют схему или берут готовую из описания лабораторной.работы, собирают схему из стандартных элементов, расположенных на стенде, соединяя их проводами. Потом подключают к схеме сигналы от генераторов сигналов , затем подключают выходы схемы к осциллографу, . включают питание и смотрят диаграммы выходных сигналов на осциллографе, сверяя их с ожидаемыми. Если имеется расхождение, исправляют ошибки в схеме и повторяют эксперимент. В данном варианте модельный эксперимент предполагает в блок-диаграмме тестового эксперимента ( тестбенча) иметь не только блок-диаграмму исследуемой логической схемы, но и блок- диаграммы генераторов сигналов. Модели регистрирующих блоков в данном случае не используются .Наблюдение сигналов реализуется средствами редактора( просмотрщика) временных диаграмм системы моделирования САПР.

Вариант 2. организации модельного эксперимента

- модели генераторов входных сигналов в тестбенче не используются. Входные сигналы задаются средствами редактора входных сигналов САПР. Наблюдение выходных сигналов схемы реализуется средствами просмотрщика-( редактора) временных диаграмм системы моделирования САПР.

ТЕКСТОВОЙ СПОСОБ

описания модели и модельного эксперимента

. Он предполагает более глубокое знание языка VHDL и предлагается в практикуме по курсу МОДЕЛИРОВАНИЕ цифровых схем.

Его плюсы- минимальная зависимость от особенностей конкретной САПР, т к VHDL является международным стандартом и сделанные на нем модели работают на всех САПР

Вариант 1 организации модельного эксперимента

Тест бенч описывается на языке VHDL, исследуемая схема также в текстовой форме описывается на vhdl, но задание диаграмм входных сигналов и наблюдение за выходными сигналами строится средствами САПР. Минус этого подхода- при работе с другой САПР тест бенч придется в части генератора входных воздействий менять.

Вариант 2 организации модельного эксперимента

. СХЕМА и ТЕСТБЕНЧ описываются на vhdl-. Плюс этого подхода- при работе с другой САПР тестбенч не придется в части генератора входных воздействий менять

  1. МОДЕЛИРОВАНИЕ ЦИФРОВЫХ УЗЛОВ В САПР

Active-HDL

. В данном практикуме используется бесплатная студенческая версия программы- Active-HDL student edition v 10,которая имеет ряд ограничений ( по скорости, числу блоков в схеме, построенной графическим редактором, невозможности автоматического построения прототипа тестирующей программы и тп).

Подробности, как скачивать программу Active-HDL students edition

( обьем 2 гигабайта) и как ее устанавливать на персональной машине см. в соответствующих файлах инструкций по скачиванию и установке .

    1. Создание нового проекта

.Программа Active-HDL запускается двойным щелчком левой кнопки мышки на пиктограмме программы.

.Сначала появляется запрос- согласны вы ее использовать только в некоммерческих целях- отвечаете да(yes)

. Появляется окно навигатора-( мастера) проектов

Мастер Проекта (Design Wizard) предназначен для создания проекта цифрового устройства. Окно Начало (Getting Started) автоматически появляется после запуска программы (рис. 1.1).

РИС.1.

Если вы создаете новый проект- ОК ( стоит CREATE NEW WORKSPACE)

Появляется окно ( рис.2)с запросом указания местоположения нового проекта и его имени . по умолчанию проекты располагаются в каталоге MY DESIGN,

место которого определяется при установке системы

Если вы хотите работать с ранее созданным проектом-то укажите OPEN EXISTING Project ,появится окно рис.3.

Ниже на рис.2. окно создания нового проекта

РИС.2.

Указав имя проекта и его место в каталоге на диске, идем дальше( ОК)

Дальнейшие действия по созданию нового проекта будут указаны после описания варианта открытия старого проекта

Ниже на рис.3.окно открытия старого проекта

В нем видны файлы проекта и один из них- с расширением aws

Это файл запуска имеющегося проекта.

В лабораторном практикуме по курсу Схемотехника используется готовый проект, в который включена библиотека простейших элементов микросхем серии КР1533( вентили И.ИЛИ,НЕ, дешифраторы, мультиплексоры,триггера и регистры), и библиотека простейших генераторов сигналов.

Учащиеся в основном средствами графического редактора САПР созают в этом проекте новые файлы, представляющие собой описания исследуемых ими схем и описания тестбенчей и проводят с ними модельные эксперименты.

РИС.3.

Ниже рассматривается как создается новый проект.

Для создания нового проекта–( по умолчанию в каталоге MY Design) в этом окне необходимо установить курсором точку в позицию Создать новый проект (FILE - New- Design)

Появится окно рис.4.

Рис.4.

Окно навигатора проектов - пример работы с файлами(FILE)- видны разные режимы работы и режим новый( NEW)

Если вы выбрали пустой проект ,то появляется окно навигатора проектов рис.5.

Видны возможности создания новых каталогов проектов ,новых файлов и т.п.

Рис.5.

  1. РАБОТА СО СХЕМНЫМ РЕДАКТОРОМ на примере

На примере схемы повторителя ,построенной из элемента «2И»

Вы выбираете режим создания НОВОГО БЛОК_ДИАГРАММНОГО ФАЙЛА

File – new- block diagram( см. рис. 6 ниже)

Появляется окно схемного редактора

Рис.6.

Появляется окно рис.7 с предложением указания включения нового исходного схемного ( .bde) файла в проект . На рис.6 и 7 слева виден список блок- диаграмм элементов серии кр1533 и генераторов сигналов, включенных в проект.

На верхней строке экрана список основных режимов работы системы и пиктограммы основных действий

Рис.7.

Указываю имя создаваемого файла и имя создаваемого обьекта проекта (рис.8).

РИС.8

Далее- Указываю входные и выходные порты- в примере рис.9

один вход и один выход для схемы ,реализующую функцию повторителя У= Х.

РИС.9. Указываю в диалоге NEW—имя порта-функция порта

( вх-вых)- в примере Х вход, У выход.

Готово- появляется поле рисунка схемы с портами входа и выхода (РИС.10).

Основные кнопки схемного редактора

РИС.10.окно схемного редактора ,справа список файлов- моделей элементов ,которые могут использоваться в схеме. В верхней части справа-пиктограммы операций схемного редактора.

Ниже пиктограммы типовых операций-

Нажав на пиктограмму символ пользователь получил список символов, доступных к использованию на РИС.11- справа на экране.

РИС.11. Окно редактора после выбора режима включения блока элемента в схему.- справа список блоков элементов.

Пользователь для оригинальности выбрал элемент LL1( 2И). Его крупное изображение появилось справа внизу-рис.12..

Рис.12.

Пользователь перенес мышкой появившийся внизу справа символ LI1 на поле схемы ( рис.13).

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5209
Авторов
на СтудИзбе
430
Средний доход
с одного платного файла
Обучение Подробнее