Скачать и установить ACTIVE_HDL (Методички для ACTIVE-HDL)

2021-10-24СтудИзба

Описание файла

Файл "Скачать и установить ACTIVE_HDL" внутри архива находится в следующих папках: МЕТОДИЧКИ_для_ACTIVE-HDL, Дополнительные материалы. Документ из архива "Методички для ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "Скачать и установить ACTIVE_HDL"

Текст из документа "Скачать и установить ACTIVE_HDL"

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ

РОССИЙСКОЙ ФЕДЕРАЦИИ

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

____________

МОСКОВСКИЙ ЭНЕРГЕТИЧЕСКИЙ ИНСТИТУТ

А.К. ПОЛЯКОВ

КАК СКАЧАТЬ И УСТАНОВИТЬ СТУДЕНЧЕСКУЮ ВЕРСИЮ ACTIVE-HDL

Методическое пособие по курсу «Схемотехника ЭВМ» для студентов, обучающихся по направлению "Информатика и вычислительная техника".

Москва Издательство МЭИ 2016



1.КАК СКАЧАТЬ ACTIVE-HDL

Скачивание Программы ACTIVE_HDL student edition

(на апрель 2016 г это была версия 10.3)

Студенческая версия программы ACTIVE_HDL имеет существенные ограничения по скорости моделирования и ряду дополнительных функций с перечнем которых можно ознакомиться на сайте фирмы ALDEC. Но для обучения она вполне подходит( например число блоков в схеме до 30 штук).

Кратко

1. нужно зайти на сайт фирмы www.aldec.com

2.в категории продукты products выйти на раздел университеты- University program

3.там выбрать свободную загрузку студенческой версии ACTIVE- HDL download

4.заполнить форму со своим почтовым адресом.

5. на почту вашу придет письмо со ссылкой на сайт фирмы с адресом файла и сообщением , что она актуальна в течение часа- т. Е. начать скачивать надо сразу. Обычно это занимает больше часа.

6. скачать файл на вашу машину( 2 гигабайта)

7. разархивировать файл

8. запустить сетап (setup), указывая в ответах на вопросы место расположения программы- обычно диск С и место расположения рабочего каталога MY DESIGN ,в котором будут храниться ваши проекты.

9. в каждом проекте обычно хранятся исходные коды ( подкаталог src), протокол обработки (подкаталог log),протокол компиляции( подкаталог compile) и каталог с именем проекта . Проект можно запустить, предварительно вызвав систему ACTIVE- HDL дважды щелкнув на ее пиктограмме и потом открыть проект из числа последних( рresent projects) ,если он в этом списке.

Или вызвать систему,меню файл- открыть ,войти в нужный каталог с именем проекта и 2 раза щелкнуть левой кнопкой мыши на имени файла проекта с цветной маркировкой.

Для этого надо знать в каком каталоге хранится проект.

ПОДРОБНО , по шагам с картинками процесс скачивания показан ниже

1. Идем на сайт www.aldec.com Потом там в категорию продукты-products

2.Там переходим в Университетскую программу- раздел University program

3. В окошке нажимаем свободная загрузка FREE DOWNLOAD

4.ПОЯВЛЯЕТСЯ ФОРМА ДЛЯ ЗАПОЛНЕНИЯ анкеты пользователя – адрес его почты и т.п.

5. После заполнения формы вам на вашу почту с небольшой задержкой

придет письмо с указанием адреса сайта, с которого

можно скачивать программу ACTIVE-HDL .

Cайт доступен для начала скачивания в течение часа!

Скачивание заархивированного файла обьемом 2 гигабайта идет довольно долго- при скорости интернета 200 килобит несколько часов.

Скачав файл его надо разархивировать программой ZIP и запустить программу setup.

После запуска программа запросит вас указать каталог для установки ACTIVE-HDL

и каталог в котором будут располагаться файлы проектов( MY_DESIGN).

Программа setup работает несколько минут.

При ее запросе- какие библиотеки производителей ПЛИС вам необходимы , укажите допустим одну только фирму XILINX.

После завершения установки на панели компьютера появится пиктограмма ACTIVE-HDL- student edition



2. КАК УСТАНОВИТЬ ACTIVE-HDL

Поляков А.К . МЭИ каф.ВМСиС 2016

Подробная инструкция в картинках

1)Щелкните на имени скачанного файла ,появится на экране картинка 1

картинка 1

нажмите далее- NEXT

картинка 2. Идет процесс установки- укажите ,что вы согласны с их условиями-I accept

картинка 3

ввели имя пользователя и своей компании

картинка 4.согласились с их предложением о каталоге установки программы или сами введите путь к нужному вам каталогу

картинка 5.согласились с их предложением о каталоге для хранения ваших проектов, где будут храниться ваши проекты или сами введите путь к нужному вам через BROWSE

картинка 6.согласились с их предложением о составе устанавливаемых библиотек или сами выберите нужные вам.Обычно матлаб и С не нужны, а из библиотек других надо одну Xilinx.-библ матлаб нужна ,если вы имеете установленную программу матлаб и хотите с ней работать,библиотеки разработчиков ПЛИС нужны ,если с ними будете работать

картинка 7.выбрана только библиотека XILINX-

картинка 8.

выбраны все расширения используемых типов файлов в ваших проектах

(например BDE- расширение имен схемотехнических файлов)

картинка 9.можно проверить все указания, которые вы давали ранее о месте размещения системы, проектов и составе библиотек

далее система несколько минут размещает свои файлы.

Все оканчивается сообщением о готовности к работе и информацией о возможностях установленной версии и ограничениях.

3. КАК ВКЛЮЧИТЬ БИБЛИОТЕКУ СИМВОЛОВ И МОДЕЛЕЙ ЭЛЕМЕНТОВ СЕРИИ КР1533 и ГЕНЕРАТОРОВ СИГНАЛОВ SXEM_LAB

После установки системы ACTIVE-HDL для изучения курса СХЕМОТЕХНИКА следует установить библиотеку графических символов элементов серии кр1533 и символов генераторов сигналов.Без этой библиотеки вам будут доступны при работе с графическим( схемным редактором) только встроенные в систему(BUILT-IN SYMBOLS) ACTIVE-HDL модели и символы простейших логических вентилей типа И,ИЛИ, НЕ, ИЛИ-НЕ и т.п., а так же символы элементов библиотеки элементов ПЛИС фирмы XILINX ,если вы установили эту библиотеку при установке системы.

Для установки библиотеки КР1533 необходимо в каталог My design скопировать каталог SXEM_LAB из сайта дистанционного образования,

содержащий библиотеку символов элементов 1533 серии, генераторов сигналов и т.п.

После этого, войдя в каталог SXEM_LAB запустите проект sxem_lab.aws

Сначала появится окно с сообщением

Нажмите ОК

Потом окно запроса на согласие использовать систему актив- шдл только для обучения

Нажмите ОК

Потом появится окно с загруженным проектом теста инвертора.

Можете выполнить моделирование этого проекта и убедиться , что все работает(1-скомпилировать design-compile,2-инициализировать simulation- initialize,3.- Вызвать просмотрщик временных диаграмм,4-передать в него список сигналов,5- запустить моделирование на определенное ограниченное время-simulation- run for и посмотреть временную диаграмму).

Потом можете создавать свои схемные проекты- рисуя схемы, включая входные воздействия и т.д. ( подробнее см. инструкцию по работе с графическим редактором и системой моделирования ACTIVE_HDL.

Ниже пример начала действий по созданию схемы из элемента 2И

Новый проект-блок диаграммный(file- new-block diagram)

Пока не нажали block diagram- старый проект инвертора на экране

После нажатия- появляется меню задания вх и вых портов проекта - продолжаем без этих указаний и получаем пустой экран схемы.

Если задать вх и вых порты проекта - получаем экран с этими блоками(см рисунок ниже).

Потом рисуем схему. Если решили рисовать на пустом экране, то надо на рисунке нарисовать не только схему, но и источники входных сигналов.

Если на экране есть блочки вх- вых сигналов , то придется вх сигналы задавать средствами редактора входных сигналов , имеющихся в составе системы ACTIVE-HDL( см инструкцию по моделированию ). Этот вариант представлен ниже

Экран для примера с заданием входов a,b и вых. Y

Далее начинаю рисовать схему( см. инстркцию по работе со схемныс редактором)- сначала выбор элементов, появляется справа перечень элементов, выбираю один( символ выбранного элемента появляется справа внизу экрана. Включение элемента 2И(AND_2 из библиотеки sxem_lab(в примере не используется библиотека встроенных элементов=Built-in SYMBOLS- см справа вверху.)

Ниже вставлен в рис.элемент AND_2 и проведены соединения.

Другой вариант (Вариант первый)-создан проект с пустым интерфейсом и нам надо включить в него не только схему, но и источники вх сигналов из библиотеки СХЕМ_ЛАБ.

Ниже пример моделирования элемента 2И( AND_2) , ко входам которого подключен источник сигнала =1 и тактовый генератор.

Ниже временная диаграмма моделирования ( для наглядности надо было дать имена всем вх и вых сигналам, а в примере дано только выходному имя О1)

7

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5224
Авторов
на СтудИзбе
428
Средний доход
с одного платного файла
Обучение Подробнее