БАЛАШ_ПОЛ_работа с ACT_HDL (Методички для ACTIVE-HDL), страница 4

2021-10-24СтудИзба

Описание файла

Файл "БАЛАШ_ПОЛ_работа с ACT_HDL" внутри архива находится в следующих папках: МЕТОДИЧКИ_для_ACTIVE-HDL, Дополнительные материалы. Документ из архива "Методички для ACTIVE-HDL", который расположен в категории "". Всё это находится в предмете "схемотехника" из 2 семестр, которые можно найти в файловом архиве НИУ «МЭИ» . Не смотря на прямую связь этого архива с НИУ «МЭИ» , его также можно найти и в других разделах. .

Онлайн просмотр документа "БАЛАШ_ПОЛ_работа с ACT_HDL"

Текст 4 страницы из документа "БАЛАШ_ПОЛ_работа с ACT_HDL"

2.1. Графический редактор схем

Создание схемы цифрового узла начинается с запуска программы Micro-Cap. В верхней части экрана в стиле Windows расположены настраиваемые панели инструментов, включающие систему выпадающих меню.

Основное поле экрана занимает окно графического редактора, предназначенное для создания принципиальной схемы. В качестве примера проведем моделирование счетчика на D-триггерах типа ТМ2 с модулем счета К = 6 (рис. 2.1) [10, 12].

В нашем примере модуль счета К не является степенью числа 2. Поэтому за основу счетчика возьмем двоичный счетчик с наименьшим возможным числом разрядов (три) так, чтобы К = 6 ≤ 8 = 23. На рис. 2.1 это триггеры DD4 – DD6.

Тактовые импульсы С поступают на вход счетчика через открытый логический элемент "И-НЕ" DD2. К выходам триггеров DD4 – DD6 подключен логический элемент "Е-НЕ" DD7, который реагирует на двоичный код числа


а

б

Рис. 2.1. Счетчик на D-триггерах ТМ2 с модулем счета К = 6:

а – схема по ГОСТ, б – схема по ANSI.:

C – вход тактовых импульсов, R – вход импульса приоритетного сброса;

DD1, DD4 – DD6 – триггеры КР1533ТМ2 (SN74ALS74);

DD2, DD7 – КР1533ЛА3 (SN74ALS00);

DD3 – КР1533ЛЛ1 (SN74ALS32)

q, на единицу меньшего модуля счета К (q = K – 1 = 510 = 1012). В результате сигнал "1" на выходе логического элемента DD7 изменяется на сигнал "0". Этот сигнал закрывает логический элемент "И-НЕ" DD2 и поступает на вход D-триггера DD1. В результате тактовые импульсы С перестают поступать на вход счетчика. Вспомогательный триггер DD1 переключается фронтом следующего тактового импульса С с номером К, в результате сигнал с его выхода открывает логический элемент "ИЛИ" DD3. Поэтому этот же тактовый импульс через элемент DD3 поступает на входы приоритетного сброса R триггеров DD4 – DD6 и сбрасывает счетчик в нулевое состояние.

Создание модели счетчика начинается с выбора из библиотек программы Micro-Cap моделей цифровых компонентов, входящих в перечень элементов к принципиальной схеме счетчика. Для этого в выпадающем меню Компоненты (Component) найдем пункт Библиотека цифровых компонентов (Digital Library) и далее выберем и перетащим мышкой на экран условно-графические обозначения (УГО) необходимых микросхем (рис. 2.2). Условно-графические обозначения микросхем выполнены в стандарте ANSI, отличном от принятого в России ГОСТа. Связь между этими обозначениями приведена в конце описания лабораторной работы.

Д ля получения подробной информации о модели микросхемы необходимо переключить редактор программы Micro-Cap в режим Выбор (Select Mode) (кнопка ) и два раза кликнуть мышкой по условно-графическому изображению микросхемы.



Рис. 2.2. Набор моделей микросхем для модели счетчика

В результате появится графическое окно с атрибутами и информацией о модели микросхемы (рис. 2.3).

Рис. 2.3. Графическое окно с информацией о микросхеме

В рамках лабораторной работы необходимо редактировать только первую строку окна Part, где указывается обозначение микросхемы на схеме. Редактирование проводится в окошке Значение (Value). При необходимости можно проанализировать и отредактировать текст модели микросхемы, находящийся в нижнем окошке графического окна.

2.1.1. Модели источников цифровых сигналов. В рамках лабораторной работы используются только три источника: источники постоянных логических сигналов уровней "1" и "0" и одноразрядный генератор цифровых сигналов [7, 8, 9].

Модели источников находятся в пункте Цифровые примитивы (Digital Primitives) меню Компоненты (Component).

  • Источники постоянных логических сигналов. В программе Micro-Cap имеется два источника постоянных логических сигналов.

P ULLUP – источник логической "1",

PULLDOWN – источник логического "0".

Эти источники формируют соответствующие логические уровни на подключаемых к ним входах моделей микросхем.

  • Генератор цифровых сигналов. Стандартные иконки генераторов цифровых сигналов находятся в подпункте Генераторы сигналов (Stimulus Generators) пункта Digital Primitives меню Component. Выберем одноразрядный генератор с именем Stim1. CLK

П роведем программирование генератора цифровых сигналов. Для этого кликнем два раза кнопкой мышки на иконке Stim1 и вызовем графическое окно генератора Stim1 (рис. 2.4). Генератор цифровых сигналов может быть запрограммирован как для создания периодического сигнала, так и для получения одиночных импульсов.

В графическом окне необходимо заполнить первую строку Part, записав в нее произвольное имя генератора. Например: PART = CLK.

Во второй строке указывается число выходов генератора. В нашем случае число выходов равно 1 (FORMAT = 1).

В третьей строке записывается имя программы, создающей цифровой сигнал. В нашем примере COMMAND = P1.

В нижней части графического окна открывается окошко для ввода текста программы. Программа пишется на специальном языке в стандарте SPICE.

Приведем пример программы генератора бесконечной последовательности тактовых импульсов с периодом Т = 50 нс.


Рис. 2.4. Графическое окно генератора цифровых сигналов

.define P1 -определить имя программы (P1)

+0NS 0; -в начальный момент логический уровень равен "0"

+LABEL = M; -имя метки в операторе цикла (М)

+150NS 1; -время, когда логический уровень изменяется на "1"

+175NS 0; -время, когда логический уровень изменяется на "0"

+200NS GOTO M –1 TIMES; -время перехода на метку М

Символ –1 в последнем операторе означает, что цикл бесконечен.

Символ + в строках означает, что переменная t (время) задается приращением относительно начального значения, равного 0 ns. Значения переменной t должны быть монотонно возрастающими.

В результате генератор цифровых сигналов с именем Stim1 выработает следующую последовательность тактовых импульсов (рис. 2.5).

T = 50 ns



150 ns 200ns

175 ns


0 100ns 200ns 300ns 400ns 500ns

Рис. 2.5. Временная диаграмма цифрового сигнала, созданного генератором Stim1

2.12. Создание электрической принципиальной схемы узла начинается с размещения УГО микросхем в графическом окне в соответствии с заранее нарисованной в ходе домашней подготовке схемой.

Далее выводы микросхем необходимым образом соединяем проводниками. Для этого выбираем режим рисования проводников (Wire Mode) (кнопка ). Затем курсор подводим к выводу микросхемы, нажимаем левую кнопку мышки и проводим проводник. Точки на линиях при соединении проводников возникают автоматически при остановке курсора.

Д алее на проводниках электрической принципиальной схемы необходимо проставить контрольные точки с именами сигналов, предназначенные для вывода информации о работе схемы на экран виртуального осциллографа. Необходимо перейти в текстовый режим (Text Mode) (кнопка ), указать стрелкой на проводник и нажать левую кнопку мышки. В результате появится текстовое окно, в котором необходимо латинскими буквами написать имя контрольной точки. После закрытия текстового окна контрольная точка появится на схеме.

Подготовленная схема модели счетчика представлена на следующем рис. 2.6. На этой схеме генератор тактовых импульсов Stim 1 имеет имя CLK, а источники сигналов лог. "1" имеют имя "1". Контрольные точки на схеме обозначены CLK, C, D, Q, R, A, B, C, D и OUT. Сигналы в этих точках можно вывести на экран виртуального осциллографа.



2.2. Моделирование в Micro-Cap

2.2.1. Настройка параметров моделирования. Моделирование, а точнее построение временных диаграмм цифровых сигналов в контрольных точках, отмеченных на схеме, проводится в режиме анализа переходных процессов (Transient Analysis). (Меню Анализ (Analysis) пункт Transient Analysis ).


Рис. 2.6. Электрическая принципиальная схема модели счетчика, подготовленная к моделированию

Программа Micro-Cap в первую очередь проверяет ошибки, возможные при создании схемы модели счетчика. Если ошибки обнаружены, появляется сообщение об ошибке. Для продолжения моделирования их необходимо устранить.

Если ошибки отсутствуют, проводится подготовка схемы модели счетчика для расчета переходных процессов и открывается окно Параметры анализа переходных процессов (Transient Analysis Limits) (рис. 2.7).

В окошках этого диалогового окна устанавливается время моделирования (Time Range = 1us = 1мкс) и режим автоматического выбора масштабов на графиках (Auto Scale Ranges). Далее, нажимая кнопку добавить (Add), заполняют таблицу для вывода результатов в форме графиков.

В этой таблице в столбце P указывается номер графика. В данном случае все графики будут построены на одном поле. В столбце XExpression указывается переменная на оси Х (Время T). В столбце YExpression указываются переменные по оси Y. Символ d обозначает, что при выводе графика по оси Y будут откладываться логические уровни сигналов ("0", "1" или "Х") в контрольных точках, указанных на схеме. Имена контрольных точек помещаются в скобки.

В последней строке записано выражение DEC(OUT,B,A), означающее, что на графике будет показано значение сигналов в контрольных точках OUT, B и A. в десятичной системе. Другие возможные здесь операторы: HEX – шестнадцатиричная система, OCT – восьмиричная, BIN – двоичная.

Для запуска процесса моделирования необходимо нажать кнопку Выполнить (RUN). В результате на экране виртуального осциллографа будут построены временные диаграммы сигналов в контрольных точках схемы.

Для возврата к окну схема необходимо нажать F3, для возврата к окну настройки параметров моделирования (рисунок 2.7) – F9.


Рис. 2.7. Окно параметров анализа переходных процессов

2.2.2. Анализ временной диаграммы. Временные диаграммы работы счетчика представлены на рис. 2.8.


Рис. 2.8. Временные диаграммы сигналов в контрольных точках схемы счетчика с модулем счета К = 6

Из анализа последнего графика DEC(OUT,B,A) видно, что на выходах счетчика OUT, B, A формируется возрастающая последовательность состояний счетчика "0", "1", "2", "3", "4", "5". На этом же графике видно, что в промежутках между состояниями "1", "2" и "3", "4" возникают промежуточные состояния, связанные с гонками в счетчике с непосредственными связями [10, 11, 12].

Анализ строк d(C), d(A), d(B) и d(OUT) показывает, что переключение счетчика происходит по переднему фронту инвертированной последовательности тактовых импульсов. Например, импульсы С запаздывают относительно фронта импульсов последовательности CLK на время задержки в логическом элементе DD2.

Процесс перхода счетчика из состояния "5" в состояние "0" показан на графиках d(D), d(Q), d(R) и d(C). В состоянии "5" (К – 1) изменяется сигнал на выходе логического элемента DD7. В результате на графике d(D) появляется импульс отрицательной полярности. Это изменяет состояние входа D триггера DD1 c "1" на "0", и, кроме того, сигнал низкого уровня закрывает логический элемент DD2 (график d(C)). В результате по переднему фронту шестого тактового импульса последовательности CLK этот триггер переключается и на его выходе Q возникает состояние лог. "0" (график d(Q)). Это открывает логический элемент DD3, в результате чего он пропускает импульс отрицательной полярности на выход R (график d(R)). Поэтому на входах приоритетного сброса R триггеров DD4, DD5 и DD6 появляется сигнал низкого уровня, что приводит к сбросу счетчика в состояние "0".

По переднему фронту седьмого импульса CLK триггер DD1 возвращается в исходное состояние, а затем, по его заднему фронту (переднему фронту последовательности импульсов С) начинается следующий цикл работы счетчика.

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5259
Авторов
на СтудИзбе
420
Средний доход
с одного платного файла
Обучение Подробнее