Пункт 2 (Курсовой проект)

2018-01-12СтудИзба

Описание файла

Файл "Пункт 2" внутри архива находится в папке "Курсовой проект". Документ из архива "Курсовой проект", который расположен в категории "". Всё это находится в предмете "вычислительная техника" из 6 семестр, которые можно найти в файловом архиве РТУ МИРЭА. Не смотря на прямую связь этого архива с РТУ МИРЭА, его также можно найти и в других разделах. Архив можно найти в разделе "курсовые/домашние работы", в предмете "вычислительная техника" в общих файлах.

Онлайн просмотр документа "Пункт 2"

Текст из документа "Пункт 2"

2. Анализ схемотехнических решений проектируемого узла

Регистры - это устройства, выполняющие функции приема, хранения и передачи информации в виде т-разрядного двоичного кода, каждому разряду которого соответствует свой элемент памяти (разряд регистра), выполненный на основе триггеров RS-, JK-, или D-типа.

Основным классификационным признаком регистров являются способ записи двоичного кода в регистр и его выдача, т.е. различают параллельные, последовательные (сдвигающие) и параллельно-последовательные регистры. Параллельный регистр выполняет операцию записи параллельным кодом. Последовательный регистр осуществляет запись последовательным кодом, начиная с младшего или старшего разряда, путем последовательного сдвига кода тактирующими импульсами. Параллельно-последовательные регистры имеют входы, как для параллельной, так и для последовательной записи кода числа. Кроме того, сдвигающие регистры делятся на одно- и двунаправленные (реверсивные). Однонаправленные регистры осуществляют сдвиг кода влево или вправо, а двунаправленные - и влево, и вправо.

По способу ввода-вывода информации различают параллельные, последовательные и параллельно-последовательные регистры. В регистрах памяти ввод и вывод информации осуществляются в параллельном коде. При этом время ввода (вывода) всего числа равно времени ввода (вывода) одного разряда. В регистрах сдвига число вводится и выводится последовательно разряд за разрядом. Время ввода (вывода) m-разрядного двоичного числа в таких регистрах определяется величиной mTc, где Tc - период следования тактовых импульсов, осуществляющих ввод (вывод) информации. В параллельно-последовательном регистре ввод числа может осуществляться в параллельном коде, а вывод - в последовательном или наоборот.

По характеру представления вводимой и выводимой информации различают регистры однофазного и парафазного типов. В однофазных регистрах информация вводится в прямом либо в обратном кодах, а в парафазных - одновременно и прямом и в обратном. Регистры первого типа строятся на основе D-триггеров, второго - на основе RS- или JK-триггеров. Вывод информации из регистров обоих типов может осуществляться в прямом и в обратном кодах.



Принцип построения простейшего параллельного Т-разрядного регистра показан на рисунке ниже.

В параллельном регистре цифры кода подаются на D-вход соответствующих триггеров. Запись осуществляется при подаче логической единицы на вход С. Код снимается с выходов Q. Параллельные регистры служат только для хранения информации в виде параллельного двоичного кода и для преобразования прямого кода в обратный и наоборот.

Последовательные регистры, помимо хранения информации, способны преобразовывать последовательный код в параллельный и наоборот. При построении последовательных регистров триггеры соединяются последовательно путем подключения выхода Qi-го триггера ко входу Di-го триггера, как это показано на рисунке ниже.

В последовательных регистрах принципиально необходимо, чтобы новый сигнал на выходе Qш-го триггера возникал только после окончания синхросигнала. Для выполнения этого условия в последовательных регистрах необходимо применять двухступенчатые триггеры.

При действии каждого очередного тактового импульса код, содержащийся в регистре, сдвигается на один разряд. Для схемы, приведенной на рисунке выше, сдвиг кода происходит вправо (в сторону младших разрядов). Действительно, сигнал выхода Qi+1-го триггера действует на вход Di-го триггера, а сигнал выхода Qi-го триггера действует на вход Di-1-го триггера. При действии синхросигнала i-й триггер примет состояние i+1-го, а i-1-й - состояние i-го триггера, т.е., произойдет сдвиг кода вправо на один разряд.

Параллельный двоичный код одновременно снимается с выходов Q триггеров. Для сдвига кода влево необходимо, чтобы сигнал с выхода Qi-1-го триггера подавался на вход Qi-го (старшего) триггера.

Для преобразования параллельного кода информации в последовательный может быть использован любой универсальный регистр сдвига, например ИР11.

Здесь:

C - вход синронизации,

R - вход сброса,

DR, DL - входы последовательного сдвига вправо и влево,

S0,S1 - входы установки режима,

D - 1,2,4,8 - информационные входы,

Q - 1,2,4,8 - информационные выходы.

 

Если, например, в регистре записано число 0101 и линия связи соединена с выходом D8, то в этом случае необходимо для преобразования параллельной формы числа 0101 в последовательную сдвигать  биты этого числа влево, а если смотреть на условное графическое обозначение микросхемы, то сдвигать биты нужно от разряда единиц к разряду восьмерок, что и означает при записи этого числа в строке сдвиг влево. Режим сдвига влево реализуется при S1=1, S0=0. Сдвиг происходит синхронно с приходом фронта тактового импульса. Порядок построения диаграммы сдвига для пяти тактовых импульсов показан на рисунках 1-6.

                                           

На рисунке 6 можно увидеть преобразованное число 0101 в последовательной форме, если посмотреть на диаграмму выхода D8 (последняя строка диаграмм). 

Если линия связи будет соединена с выходом D1 (это разряд единиц), то алгоритм построения диаграммы сдвига будет аналогичен приведенному выше, с той лишь разницей, что число в последовательной форме после 5-го тактового импульса будет находиться на диаграмме выхода  D1. И соответственно сдвиг нужно будет производить не влево, а вправо.

Реверсивные регистры должны содержать логические схемы управления, обеспечивающие прохождение сигнала с выхода Qi-го триггера на вход Di-1-го триггера при сдвиге кода вправо и прохождение этого же сигнала на вход Di+1-го при реализации сдвига кода влево. Схема построения реверсивного регистра приведена на рисунке ниже.

Направление сдвига кода определяется подачей требуемых сигналов управления на соответствующие входы. Так, в схеме, показанной на рисунке выше, при подаче на вход S0 напряжения логической единицы сдвиг кода будет происходить влево (в сторону старших разрядов), поскольку логическая схема управления 2И - 2И - 2ИЛИ будет разрешать прохождение сигналов с выходов Qi-го триггера на вход Di-го триггера, и наоборот, при подаче на вход S1 напряжения логической единицы будет разрешено прохождение сигнала с выхода Qi-го триггера на вход Di1-го триггера - будет реализовываться сдвиг кода вправо (в сторону младших разрядов).

Примеры условного графического обозначения параллельного, сдвигового и реверсивного регистров приведено на рисунке ниже.

Выводы микросхем, показанных на рисунке выше, следующие: D1-Dn - входы D-триггеров соответствующих разрядов при записи информации в параллельном коде; Q1-Qn - прямые выходы Q-триггеров; С - вход тактовых импульсов; R - вход обнуления; S0,S1 - входы управления направлением сдвига; VR - вход последовательного кода при сдвиге вправо (R - от англ. Right), при сдвиге кода влево применяется обозначение VL - (Left).

Основную массу регистров, применяемых на практике, представляют регистры сдвига, т.к. помимо операции хранения они могут осуществлять преобразование параллельного кода в последовательный и наоборот, прямого кода - в обратный и наоборот, выполнять арифметические и логические операции, временную задержку и деление частоты.

Иногда объединяют два понятия логический и циклический сдвиг. Рассмотрим логический (циклический) сдвиг на трех разрядном регистре состоящем из RS-триггеров. Под логическим сдвигом понимают – на освободившееся место записывается 0. Под циклическим сдвигом понимают – на освободившееся место записывается буква, выпадавшая при переносе слова.

Пусть исходным состоянием будет 010 и зададим логический сдвиг вправо на один разряд. Можно записать и по другому: (RG)0 = 010, ЛП1.

Составим таблицу переходов для регистра:

Старое состояние
Новое состояние

Q1

Q2

Q3

Q1t

Q2t

Q3t

0

0

0

0

0

0

0

0

1

1

0

0

0

1

0

0

0

1

0

1

1

1

0

1

1

0

0

0

1

0

1

0

1

1

1

0

1

1

0

0

1

1

1

1

1

1

1

1

Теперь составим временную диаграмму, по которой видно как работает регистр.

После третьего синхросигнала видно, что выходной сигнал начинает повторяться, т.е. зацикливается.

А теперь рассмотрим арифметический сдвиг. Под ним понимают – на освободившееся место записывается содержимое бита переноса.

Пусть исходным состоянием будет 010 и зададим арифметический сдвиг вправо на один разряд. Можно записать и по другому: (RG)0 = 010, АП1.

Составим таблицу переходов для регистра:

Старое состояние
Новое состояние
Q1

Q2

Q3

Q1t

Q2t

Q3t

0

0

0

0

0

0

0

0

1

0

0

0

0

1

0

0

0

1

0

1

1

0

0

1

1

0

0

1

1

0

1

0

1

1

1

0

1

1

0

1

1

1

1

1

1

1

1

1

Также и для арифметического сдвига составим временную диаграмму, по которой видно как работает регистр.

Далее рассмотрим параллельный парафазный Т-разрядный регистр. Схему регистра построим на асинхронных RS–триггерах.

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5209
Авторов
на СтудИзбе
430
Средний доход
с одного платного файла
Обучение Подробнее