04-Регистры (Методические указания для лабораторных работ)

2017-12-27СтудИзба

Описание файла

Файл "04-Регистры" внутри архива находится в папке "Методические указания для лабораторных работ". Документ из архива "Методические указания для лабораторных работ", который расположен в категории "". Всё это находится в предмете "электроника" из , которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "электроника и микропроцессорная техника" в общих файлах.

Онлайн просмотр документа "04-Регистры"

Текст из документа "04-Регистры"

МОСКОВСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ

УНИВЕРСИТЕТ имени Н.Э.БАУМАНА

Кафедра РК-10

Б.Б.Михайлов, О.И.Елисеева

МЕТОДИЧЕСКИЕ УКАЗАНИЯ

К ВЫПОЛНЕНИЮ ЛАБОРАТОРНОЙ РАБОТЫ №4

«Исследование регистров»

по курсу «Элементы электроники и микропроцессорной

техники РТС»

Исправить рис 4 !!!!!

Москва

2007г.

1 Цель работы: ознакомление с принципом действия и построения регистров

2. Разновидности регистров.

2.1 Общие сведения.

Регистром называют цифровое устройство, предназначенное для записи и хранения информации в двоичном коде.

Помимо хранения информации некоторые виды регистров могут преобразовывать информацию, например, из последовательной во времени формы представления в параллельную, сдвигать записанную информацию на один или несколько разрядов в сторону младшего разряда (вправо) или старшего разряда (влево), инвертировать код.

По способу ввода и вывода информации различают:

  • параллельные (регистры памяти)

  • последовательные (регистры сдвига)

  • параллельно-последовательные

  • кольцевые

В параллельных регистрах ввод и вывод информации выполняется параллельным способом, т.е. все разряды информации передаются одновременно, каждый разряд по своей цепи. В регистрах сдвига разряды информации передаются последовательно во времени, один разряд за другим, как при вводе, так и при выводе информации. В параллельно-последовательных регистрах ввод информации осуществляется параллельным способам, а вывод - последовательным или наоборот.

2.1 Параллельные регистры.

Параллельный регистр обеспечивает прием, хранение и передачу информации (рис. 1)


Схема построена на триггерах Д-типа и логических элементах 2И-НЕ. Логические элементы обеспечивают передачу слова по управляющему сигналу считывания. Регистр имеет N информационных входов, N выходов Q1Q2,.. QN и три входа для управляющих сигналов.

С помощью управляющего сигнала установки 0 ("Уст. 0"), поступающего на R входы триггеров, все триггеры устанавливают в нулевое состояние. Это состояние Q1 Q2 QN= 00.. .0 сохраняется до тех пор, пока на входах регистра не появятся сигналы Х1 Х2 ХN и управляющий сигнал записи. При Xi = 1, с приходом управляющего сигнала записи, происходит переключение триггера i-го разряда в единичное состояние, при X = 0 триггер остается в нулевом состоянии. Считывание информации из регистра осуществляется в прямом или обратном коде по сигналу выдачи прямого или обратного кода. Одновременное действие сигнала прямого и обратного кодов запрещено.

2.3 Последовательные регистры.

Последовательные регистры (регистры сдвига) предназначены для преобразования информации путем ее сдвига под воздействием тактовых импульсов. Такие регистры представляют совокупность последовательно соединенных триггеров. Число триггеров определяется разрядностью записываемого слова. По направлению сдвига информации различают регистры прямого сдвига (вправо, т.е. в сторону младшего разряда); обратного сдвига (влево, т.е. в сторону старшего разряда) и реверсивные, допускающие сдвиг в обоих направлениях.

Наиболее широко распространены регистры сдвига на Д-триггерах (рис. 2)


Рис. 2

Такие регистры имеют один информационный вход, вход для тактовых импульсов (импульсов сдвига) и установочный вход. Выходы в регистре могут быть с каждого разряда для считывания информации одновременно со всех разрядов, т.е. параллельным кодом. Также может быть один выход последнего относительно входа разряда для считывания информации последовательно во времени, т.е. последовательным кодом.

Перед записью информации регистр устанавливается в нулевое состояние подачей положительного импульса, по шине "Уст. О". Записываемая информация должна быть представлена последовательным кодом. Запись |осуществляется поразрядно со стороны старшего или младшего разряда (направление сдвига указывается стрелкой в условном обозначении регистра) путем продвижения кодовой комбинации с каждым тактовым импульсом от разряда к разряду. Следовательно, для записи N-разрядного слова необходимы N импульсов сдвига.

Считывание информации последовательным кодом осуществляется, как запись, поразрядным сдвигом записанной кодовой комбинации к выходу каждым тактовым импульсом. Следовательно, для считывания N-разрядного слова необходимы N импульсов сдвига. Считывание информации параллельным кодом происходит в паузе между последним импульсом сдвига одного цикла записи и первым импульсом сдвига другого цикла записи, т.е. в интервале времени, когда на С-входах триггеров нулевой уровень, и они находятся в режиме хранения (вых.Qi.)

Т
аким образом, с помощью регистра сдвига можно осуществлять преобразование информации из последовательной формы представления в параллельную. Очевидно, если предусмотрена запись информации параллельным кодом, то можно преобразовать информацию из параллельной формы представления в последовательную.

рис. 3

Реверсивные регистры сдвига объединяют в себе свойства регистров прямого и обратного сдвига. Строятся они с использованием дополнительных логических элементов в межразрядных связях. Указанная особенность показана на примере i-го разряда (рис. 3 ) состоящего из Д-триггера с динамическим управлением и логической схемы, на входы которой поданы:

Qi-1 - сигнал с выхода младшего разряда,

Qi+1 - сигнал с выхода старшего разряда,

V - сигнал, управляющий направлением сдвига:V = 1 - вправо , V = 0 - влево.

Кольцевой регистр

Кольцевой регистр построен на Д-триггерах. Схема регистра приведена на рис. 4. Сдвиг информации осуществляется аналогично регистру сдвига.


рис. 4

.

Однако циклическая перезапись осуществляется путем соединения входа последнего триггера с управляющей схемой входа первого триггера.

Управляющая схема строится таким образом, что при нулевом уровне на входе “Упр.” Осуществляется циклический сдвиг информации, а при единичном уровне на “Упр.” – запись информации, поступающей по шине “Вход”

Описание лабораторной установки УМ - I I

Установка УМ - I I предназначена для изучения логических схем на потенциальных элементах интегрального комплекса транзисторно-транзисторной, логики серии 155.

В состав лабораторной установки входят:

  • задающая часть;

  • наборы логических элементов "И-НЕ", "И-ИЛИ-НЕ", функциональные расширители и триггеры JK и D типов;

  • восьмиразрядный тумблерный регистр для задания логических уровней "0" и "1" указанных на выходных гнёздах регистра цифрой "0" и знаком "+" соответственно;

  • восемь элементов индикации - ламп накаливания, подключенных к выходам элементов и светящихся от сигналов высокого уровня;

  • гнёзда "+" с потенциалом логической единицы;

  • г нёзда " " с потенциалом логического нуля.

Задающая часть установки состоит из генератора синхроимпульсов, генератора одиночных импульсов и элемента задержки.

Генератор синхроимпульсов вырабатывает импульсы с частотой следования I МГц +/− 10%. На их основе с помощью делителя частоты на два формируются две серии импульсов с частотой повторения 500 кГц - основная СИ-I и задержанная относительно СИ-I на половину периода серия импульсов СИ-2 . К каждому выходу генератора синхроимпульсов можно подключить до 30 входов различных логических элементов Генератор одиночных импульсов вырабатывает импульс при нажатии кнопки ПУСК и наличии синхроимпульсов на входном гнезде "СИНХР".

Элемент задержки предназначен для задержки подаваемого на его вход сигнала дискретно с интервалом 0,1 мкс в диапазоне от 0,1 до 1,0 мкс. Полярности импульсов на входе и выходе элемента совпадают. К выходу элемента задержки можно подключать до 10 входов различных логических элементов.

Входы и выходы элементов наборного поля, генератора одиночных пульсов, элемента задержки и выходы генератора синхроимпульсов с помощью гнёзд выведены на лицевую панель установки. Здесь же имеются гнёзда для подключения осциллографа к исследуемым точкам схемы и для подачи синхронизирующего сигнала на вход осциллографа. Необходимые соединения осуществляются при помощи коммутационых шнуров.

Порядок выполнения лабораторной работы.

  1. Изучить описание лабораторной работы и ознакомиться с лабораторной установкой.

  2. . Собрать исследуемую схему, имея в виду, что незадействованный вход элементов ТТЛ ведет себя так же, как при подключении к нему потенциала логической единицы.

  3. При необходимости подключения к интересующим точкам схемы осциллографа перевести его в режим ждущей синхронизации от внешнего сигнала. Выход гнезда "СИНХР" в правой верхней час­ти установки соединить с входом Х внешней синхронизации осциллографа. На вход гнезда "СИНХР" установки подать сигнал от генератора синхроимпульсов в соответствии с указаниями к лабораторной работе.

  4. Включить питание и произвести исследование собранной схемы.

  5. Составить отчет о проделанной работе. Отчет должен содержать изучаемые схемы, методику исследования, таблицы, осциллограммы и другие результаты экспериментов.

  6. Исследование параллельного регистра.

Изучение работы регистра в статическом режиме.

    1. Собрать схему параллельного регистра (рис. 1).

    2. Входы R, X1, X2 и вход считывания в прямом коде, подключить к тумблерному регистру.

    3. Сигнал "Запись" подать с генератора одиночных импульсов, при этом вход "СИНХР" генератора одиночных импульсов подключить к СИ I

    4. Выходы Q1 Q2 подключить к индикаторным лампочкам.

    5. Установить на "Считывание" и "Уст. О" - I.

    6. Задавая различные комбинации на входах X12 исследовать работу регистра, подав сигнал кнопкой "Пуск" от генератора одиночных импульсов.

    7. Исследовать влияние сигналов "Уст.0" и "Считывание" на сигналы Q1 Q2

    8. Составить таблицу состояний регистра.

Изучение работы регистра в динамическом режиме.

  1. Сигнал записи подключить к СИ I.

  2. Сигнал считывания подключить через линию задержки к СИ 2

  3. Синхронизацию осциллографа подключить к СИ I .

  4. Вход осциллографа подключить к выходам Q1 Q2 поочередно.

  5. На входах X12 установить определенную информацию. Зарисовать временные диаграммы работы регистра.

7.Исследование последовательного регистра.

Изучение работы регистра в статическом режиме.

  1. Собрать схему последовательного регистра (рис .2).

  2. Входы R,X1 подключить к тумблерному регистру.

  3. Для формирования сигналов "Запись" и "Уст.О", использовать линии 1,2,3,4 и 5,6,7,8.

  4. Сигнал "Запись" подать с генератора одиночных импульсов, вход генератора подключить и СИ I.

  5. Выходы Q1 Q2 Q3 Q4 подключить к индикаторным лампочкам.

  6. Задавая различные комбинации X1 убедиться в правильности работы собранного регистра.

Изучение работы регистра в динамическом режиме.

  1. Собрать схему кольцевого (последовательного) регистра (рис.4) и проверить работу в статическом режиме

  2. Вход С подключить к СИ I .

  3. Вход синхронизации подключить на выход 1-го триггера.

  4. Входы R и S подключить и генератору одиночных импульсов

  5. Подключая последовательно вход осциллографа к выходам Q1 Q2 Q3 Q4 зарисовать временные диаграммы работы регистра.

2


Свежие статьи
Популярно сейчас
Зачем заказывать выполнение своего задания, если оно уже было выполнено много много раз? Его можно просто купить или даже скачать бесплатно на СтудИзбе. Найдите нужный учебный материал у нас!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5155
Авторов
на СтудИзбе
439
Средний доход
с одного платного файла
Обучение Подробнее