Главная » Все файлы » Просмотр файлов из архивов » Документы » Исследование регистров сдвига (МУ к ЛР №3)

Исследование регистров сдвига (МУ к ЛР №3)

2017-12-28СтудИзба

Описание файла

Документ из архива "Исследование регистров сдвига (МУ к ЛР №3)", который расположен в категории "". Всё это находится в предмете "электроника" из , которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "электроника и микропроцессорная техника" в общих файлах.

Онлайн просмотр документа "Исследование регистров сдвига (МУ к ЛР №3)"

Текст из документа "Исследование регистров сдвига (МУ к ЛР №3)"

ЛАБОРАТОРНАЯ РАБОТА№2.

ИССЛЕДОВАНИЕ РЕГИСТРОВ СДВИГА

Цель работы - изучение принципов построения регистров сдви­га, способов преобразования параллельного кода в последователь­ный и обратно, макетирование регистров сдвига и их эксперимен­тальное исследование.

В процессе самостоятельной подготовки к работе необходимо ознакомиться с теоретическими сведениями и подготовить расчет­ные и теоретические материалы, электрические функциональные схе­мы исследуемых регистров и временные диаграммы сигналов регистра сдвига. Перед началом работы предъявить преподавателю рабочие материалы для проверки и обсуждения. После выполнении работы каждый студент обязан представить преподавателю аккуратно офор­мленный отчет.

Продолжительность работы - 4 часа.

Теоретические сведения

Регистром называется операционный узел ЦВМ, предназначенный для ввода, хранения, преобразования и вывода двоичного слова или его части. Регистр состоит из запоминающих элементов (триг­геров) и логических элементов, обеспечивающих выполнение следующих операций:

  • прием слова из другого регистра, сумматора, счетчи­ка и т.п.,

  • передача слова в другой регистр, сумматор, счетчик и т.п.,

  • преобразование прямого кода в обратный и наоборот,

  • сдвиг слова влево или вправо на требуемое число разрядов,

  • преобразова­ние последовательного кода в параллельный и обратно,

  • поразряд­ные логические операции (умножение, сложение, сложение по модулю 2).

По способу ввода и вывода информации различают регистры параллельные (регистры памяти), последовательные (регистры сдвига) и параллельно-последовательные. В параллельных регистрах ввод и вывод слова выполняется параллельным способом, т.е. все разряды слова передаются одновременно, каждый разряд по своей цепи. В регистрах сдвига разряды-слова передаются последовательно во вре­мени, один разряд за другим, как при вводе, так и при выводе информации. В параллельно-последовательных регистрах ввод слова осуществляется параллельным способом, а вывод - последовательным или наоборот.

Рассмотрим схемы регистров различного назначения.

Параллельный регистр (рис.1) обеспечивает прием, хранение и передачу слова. Схема построена на триггерах RS-тапа и логи­ческих элементах И, И-ИЛИ. Входные логические элементы обеспечи­вают прием слова в момент прихода управляющего сигнала записи ("Зап."), выходные - передачу слова из регистра в прямом или обратном коде в зависимости от управляющего сигнала. Регистр имеет N информационных входов x1, x2, . . . xN выходов входов y1, y2, . . . yN и четыре входа для управляющих сигналов.

С

Рис. 1

помощью управляющего сигнала установки нуля ("Уст.0"), по­ступающего на R-входы триггеров, все триггеры устанавливают в нулевое состояние. Это состояние Q1 Q1… Q1= 00…0 сохраняет­ся до тех пор, пока на входах регистре не появятся сигналы x1, x2, . . . xN и управляющий сигнал записи. При xi = 1 с при­ходом управляющего сигнала записи происходит переключение триг­гера i -го разряда в единичное состояние, при xi = 0 триггер остается в нулевом состоянии. Одновременное действие сигналов установки нуля и записи недопустимо, так над комбинация S = R = 1 на входах RS-триггера является запрещенной. Считывание информации из регистра осуществляется в прямом или обратном коде по сигналу выдачи прямого (ВПК) или обратного (ВОК) кода. Очевидно, что одновременное действие сигналов ВПК и ВОК запрещено. В каждом разряде рассматриваемого регистра прием и выдача инфор­мации осуществляются по одному каналу.

Период работы такого ре­гистра

T = (tЗД.Р,СР)ЛЭ + 2(tЗД.Р,)ТР + tСЧ ,

где (tЗД.Р,СР)ЛЭ - среднее время задержки распространения сигнала в логическом элементе И;

(tЗД.Р,)ТР - время задержки распространения сигнала в тригге­ре, в качестве которого можно принять большее из времен задержек tзд.р01, tзд.р10 триггера;

tСЧ - время считывания информации из регистра.

Примечание. Если учитывать время перехода t01, t10 элемента из одного состояния в другое, то период следует увеличить на большую из величин t01, t10 .

В регистре, изображен­ном на рис.2, для записи ис­пользуется парафазии код сло­ва, т.е. одновременно прямой и обратный коды. Прием инфор­мации в регистр в этом случае осуществляется без предвари­тельной установки его в нуле­вое состояние, т.е. за один такт. По сигналу записи триггер i-го разряда регистра устанав­ливается в состояние, соответствующее значению xi , независимо от предшествующего состояния триггера. Выдача информации из ре­гистра происходит также в парафазном коде по двум каналам при по­ступлении сигнала считывания, или выдачи кода (ВК). Период рабо­ты регистра с приемом информации в парафазном коде

T = (tЗД.Р,СР)ЛЭ + (tЗД.Р,)ТР + tСЧ ,

В

Рис. 2

ыдача кода из регистра часто совмещается с записью кода на другой регистр. При этом схему передающего регист­ра можно упростить, исключив из нее схемы выдачи кода и соединив непосредственно вы­ходы триггеров Q1, Q1 передающего регистра и информационными входами принимающего регистра (рис. 3).

О

Рис. 3

перация сдвига заключается в перемещении содержимого всех разрядов регистра влево или вправо на определенное число разря­дов. Для сдвига кода используются регистры сдвига, которые в за­висимости от направления сдвига делятся на регистры со сдвигом информации вправо (в сторону младших разрядов); регистры со сдви­гом информации влево (в сторону старших разрядов); реверсивные регистры, обеспечивающие сдвиг информации вправо или влево в за­висимости от управляющего сигнала.

Р

Рис.4

егистры сдвига находят широкое применение в цифровой техни­ке. Они могут использоваться в устройствах управления в качестве распределителей импульсов, для построения кольцевых считчиков, для преобразования параллельного кода в последовательный и обрат­но.

Для построения регистров сдвига могут быть использованы триггеры разных типов: D, RS, JK, DV . В регистре на потенциаль­ных элементах сдвиг информации осуществляется обычно по двухтак­тной схеме. В этом случае каждый разряд регистра сдвига состоит из двух триггеров: основного и вспомогательного. На рис. 4 при­ведена схема регистра для сдвига информации вправо, выполненная на тактируемых RS-триггерах. Основные и вспомогательные триг­геры образуют два регистра: основной (RG1)и вспомогательный (RG2).

Сдвиг информация в схеме осуществляется за два такта, сна­чала по сигналу C1 содержимое основного регистра переписывается во вспомогательный, а затем по сигналу C2 информация из вспомогательного регистра возвращается в основной регистр со сдвигом на один разряд вправо. Направление сдвига и количество разрядов, на которое проводится сдвиг, определяется коммутацией выходов одного и входов другого регистра.

Регистр, изображенный на рис.4, за одну посылку управляющих импульсов C1 , C2 обеспечивает сдвиг информации на один разряд вправо. Для сдвига на m разрядов требует­ся m таких посылок. Две по­следовательности управляющих сигналов C1, C2 можно за­менить одной C1 , соединив шину C1 с шиной C2 через ин­вертор.

При использовании интег­ральных триггеров с двухступенчатым запоминанием информации или триггеров с динамическим управлением записью схемы ре­гистров сдвигов приобретают -более простой вид (рис. 5). Выходы одних триггеров непо­средственно соединяются с входами других, а сигналы сдвига подаются на общею линию, соединённую с синхровходами С триггеров. Сдвиг кода здесь осуществляется каждым управляющим импульсом, поэтому такие схемы называют регистрами с одноактным сдвигом.

В

Рис. 5

Схема формирования управляющих сигналов начальной установки и сдвига С1.

Рис. 6.

случае применения триггеров с прямым динамическим управле­нием (рис.) состояние регистра изменяется от положительного фронта сигнала сдвига, как показано пунктиром на рис.5г, в дру­гих случаях - от отрицательного фронта.

Задание и порядок проведения работы

1. Пользуясь исходными данными, приведенными в табл. 3, на­чертить функциональную схему четырехразрядного регистра сдвига, имеющего обратную связь с инверсного выхода 4-го разряда на вход 1-го. При сдвиге числа вправо разряды регистра следует нумеровать слева направо, а при сдвига влево- справа налево. На схеме ука­зать две входные линии: для подачи одиночного сигнала установки начального состояния регистра по входам НЕ-R и НЕ-S триггеров и для подачи сигналов сдвига.

Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5167
Авторов
на СтудИзбе
438
Средний доход
с одного платного файла
Обучение Подробнее