03-Триггеры (Методические указания для лабораторных работ)

2017-12-27СтудИзба

Описание файла

Файл "03-Триггеры" внутри архива находится в папке "Методические указания для лабораторных работ". Документ из архива "Методические указания для лабораторных работ", который расположен в категории "". Всё это находится в предмете "электроника" из , которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "электроника и микропроцессорная техника" в общих файлах.

Онлайн просмотр документа "03-Триггеры"

Текст из документа "03-Триггеры"

МОСКОВСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ

УНИВЕРСИТЕТ имени Н.Э.БАУМАНА

Кафедра РК-10

Б.Б.Михайлов, О.И.Елисеева

МЕТОДИЧЕСКИЕ УКАЗАНИЯ

К ВЫПОЛНЕНИЮ ЛАБОРАТОРНОЙ РАБОТЫ №3

«Исследование интегральных триггеров»

по курсу «Элементы электроники и микропроцессорной

техники РТС»

Москва

2007г.

1. Цель работы: ознакомление с принципом действия и построения интегральных триггеров.

2. Разновидности интегральных триггеров.

2.I Общие сведения.

Триггерами называют электронные схемы, имеющие два устойчивых состояния, которые они принимают под воздействием входных сигналов. Как правило, триггеры имеют два выхода Q и Q. Состояние триггера определяет потенциал Q. Благодаря тому, что триггеры могут сохранять неизменным состояние Q = 0 или Q = 1, они являются элементами памяти. Свое название триггеры получили от английского слова "trigger" - спусковой крючок, защелка.

Функциональная классификация триггеров базируется на виде связи между входными и выходными сигналами, определяемой таблицей состоянии или характеристическим уравнением триггера. В отличие от комбинационных схем триггеры относятся к так называемым пoследовательностным схемам, выходные сигналы которых зависят не только от сигналов, приложенных к их входам в данный момент времени, но и от сигналов, действовавших на них в предыдущие моменты времени. Поэтому в таблице состояний указывают значение выходного сигнала Qt+1 в момент времени t+1 в зависимости от значений входных сигналов и состояния триггера в момент времени t. Основу функциональной классификации составляет так называемые RS, RSC, T, TV, D и JK триггеры, у которых буквами R, S, С, Т, V, D, J и К обозначаются соответствующие входы.

По способу записи информации различают асинхронные и синхронные тактируемые триггеры. Запись информации в асинхронные триггеры происходит непосредственно с поступлением соответствующих сигналов, а в синхронные - только при наличии синхроимпульса (от английского слова clock - время). Триггеры, тактируемые уровнем, могут переключаться управляющими сигналами в течение всего времени действия синхроимпульса. Триггеры, тактируемые фронтом, переключаются только в момент действия положительного или отрицательного фронта синхроимпульса. В этом случае вход, на который поступают синхроимпульсы, называют динамическим. В отличие от статического, динамический вход обозначают треугольником.

Основными параметрами, характеризующими работу и быстродействие триггеров, являются их нагрузочная способность, минимальная длительность входного импульса tимп, время переключения tп0,1 и tп1,0 из состояния Q=0 в 1 и наоборот и максимальная рабочая частота fmax

2.2 Триггеры RS типа

Триггеры RS типа имеют 2 установочных входа S и R, названных по первым буквам английских слов "set" - установка и "reset"-переустановка, возврат. Вход S служит для установки логического значения переменной Q=1, а вход R - для возвращения триггера в состояние Q=0.

Простейшие нетактируемые триггеры RS типа содержат две ячейки "ИЛИ-НЕ" или "И-НЕ", замкнутые в кольцо (рис.1). Благодаря этому реализуется положительная обратная связь, удерживающая триггер в одном из 2-х устойчивых состояний. В этом состоянии триггер оказывается после включения питания из-за разного быстродействия ячеек. Дальнейшее функционирование триггера происходит под воздействием входных сигналов в соответствии с таблицей истинности рис.2

Рис.1

Особенностъю работы RS триггера является недопустимость одновременного присутствия на его входах единичных сигналов S и R. При S = R = I на обоих входах триггера имеется нулевой потенциал. После окончания действия входных сигналов триггер может перейти с равной вероятностью как в состояние Q = I, так и в состояние Q = 0. Недопустимость комбинации входных сигналов S = R = Iалгебраически выражается условиемSt Rt = 0 . С учетом этого ограничения на основаниии таблицы истинности характеристическое уравнение триггера записывается так:

Рис.2



Qt

St

Rt

Qt+1

0

0

0

0

0

0

1

0

0

1

0

1

0

1

1

?

1

0

0

1

1

0

1

0

1

1

0

1

1

1

1

?

Временная диаграмма работы RS триггера на элементах "И-НЕ" показана на рис.3.Переход триггера из состояния Q = 0 в состояние Q = I и наоборот осуществляется с задержкой относительно появления входного сигнала St = 0 или Rt = 0 на суммарное время задержки распространения сигналов в обоих логических элементах. Поэтому время переключения триггера

Д
ля устойчивого функционирования триггера длительность входного сиг-

Рис.3

н ала tимп должна быть не меньше, чем tП, а период повторения импульсов tимп=2tП Отсюда

2.3 Тактируемые RSC триггеры.

В отличие от асинхронных эти триггеры имеют на каждом входе дополнительные схемы совпадения (рис.4) , обеспечивающие прохождение установочных сигналов S и R только при наличии тактирующего (синхронизирующего) сигнала. Поэтому работа RSC триггера описывается характеристическим уравнением Qt+1 = QtCtRt + StCt

Рис.4



2.4 Т-триггер.

Триггер Т типа является одновходовым устройством, которое изменяет свое состояние на противоположное всякий раз, когда на его вход поступает управляющий импульc Т. Характеристическое уравнение - Т триггера имеет вид (Qt+1 = QtTt + QtTt , т.е. при T=I Qt+1=Qt, а при Т=0 сохраняет предыдущее состояние Qt+1=Qt Т триггер может быть построен па основе RSC триггера путем подачи на его установочные входы R и S сигналов Q и Q с выходов триггера соответственно. При этом в зависимости от предыдущего состояния триггера входной импульс будет воздействовать на тот вход, который вызывает переход триггера в новое состояние. На время действия входного импульса, когда триггер переходит в новое состояние, необходим элемент памяти, который сохраняет прежнее состояние триггера для управления входной логической цепью. Таким элементом памяти может быть второй RSC триггер. Для того, чтобы при этом не происходило одновременного срабатывания обоих триггеров, входные импульсы подают на тактовый вход первого триггера непосредственно, а на тактовый вход второго - через инвертор. В результате Т триггер приобретает двухступенчатую структуру, показанную на рис.5а. Запись

Рис.5

информации в первый триггер DD1 происходит. с приходом переднего фронта импульса Т при изменении потенциала Т от "0" до "1" (треугольник на входе С довернут в сторону триггера вершиной). Второй триггер при этом блокирован нулевым потенциалом на выходе ячейки DD3. По окончании действия тактового импульса при изменении потенциала Т от уровня логической единицы до уровня логического нуля происходит перезапись информации из первого триггера во второй, т.е. триггер DD2 переходит в то жe состояние, что и триггер DD1.


Рис.6


На условном изображении Т триггера (рис.5б) треугольник, повернутый основанием в сторону триггера, показывает, что триггер переключается отрицательным перепадом напряжения импульса Т от "1" до "0".Две буквы Т внутри прямоугольника отражают двухступенчатую структуру Т триггера. Особенностью Т триггера является то, что частота изменения сигнала на выходе в два раза меньше частоты изменения сигнала на входе (рис.6) . Иными словами триггер осуществляет подсчет входных импульсов по модулю 2. Благодаря этому Т триггер называют счетным.

2.5 D триггер.

D триггер, или триггер задержки (от английского слова delay) представляет собой синхронный запоминающий элемент с одним информационным входом D и одним тактовым входом С. Характеристическое уравнение триггера имеет вид Qt+1 = CtDt + CtQt. Из него следует, что при С = 1 триггер имеет состояние Qt+1 = Dt, т.е. сигнал на выходе повторяет значение сигнала на информационном входе D с задержкой, определяемой периодом повторения тактовых импульсов. Логическая структура интегральных D триггеров содержит три RS триггера (рис.7): основной асинхронный RS триггер на элементах DD5 и DD6; коммутирующий синхронный RS триггер записи логической "I" в основной триггер на элементах DD1, DD2 коммутирующий синхронный триггер записи логического "0" в основной триггер на элементах DD3, DD4. При S = R = I состояние основного триггера

Рис.7


Свежие статьи
Популярно сейчас
Зачем заказывать выполнение своего задания, если оно уже было выполнено много много раз? Его можно просто купить или даже скачать бесплатно на СтудИзбе. Найдите нужный учебный материал у нас!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5137
Авторов
на СтудИзбе
440
Средний доход
с одного платного файла
Обучение Подробнее