учебник.1 (ЦИУ экзамен вопросы и материал), страница 5

2015-11-22СтудИзба

Описание файла

Файл "учебник.1" внутри архива находится в следующих папках: ЦИУ экзамен вопросы и материал, ЦИУ_экзамен, материал. Документ из архива "ЦИУ экзамен вопросы и материал", который расположен в категории "". Всё это находится в предмете "цифровые и импульсные устройства" из 5 семестр, которые можно найти в файловом архиве МАИ. Не смотря на прямую связь этого архива с МАИ, его также можно найти и в других разделах. Архив можно найти в разделе "к экзамену/зачёту", в предмете "цифровые и импульсные устройства" в общих файлах.

Онлайн просмотр документа "учебник.1"

Текст 5 страницы из документа "учебник.1"

Рср ≤ Рзад ().

  • Быстродействие триггера, определяемое временем задержки, создаваемым разработанной схемой триггера:

tз = tзм + tзs

где tзм и tзs – задержки, создаваемые М-триггером и S-триггером;

tзм = tзs = 3tз’; tз’=½ (tз+ + tз-)

tз tз зад ()

  • Согласованность по выходу микросхем, входящих в схему триггера

Краз ≤ Краз’, ()

Где Краз, Краз’ – фактическая и максимальная нагруженность ИЛС.

Если условия (),(),()не выполняется, то необходимо выбрать новую элементную базу.

2.3.2. Проектирование регистра.

Цель занятия: Выработка навыков проектирования цифрового устройства в виде регистра.

2.3.2.2.1. Исходные данные для расчета:

- число разрядов регистра – 3;

- направление сдвига числа в регистре – в сторону младшего разряда;

- способ ввода информации – прямой параллельный код;

- способ вывода информации – прямой параллельный код;

- быстродействие - tзад ≤ 2мк с;

- потребляемая мощность - Рзад ≤ 1,5 Вт.

2.3.2.2.2. Необходимо:

- разработать схемы ввода и вывода информации;

- составить принципиальную электрическую схему регистра;

- провести проверочный расчет.

2.3.2.2.3. Выполнить расчет в следующей последовательности:

  • В соответствии с заданием для реализации регистра выбираем универсальные JК-триггеры в количестве 3шт.

  • Для организации параллельного ввода используем схему на элементах 2И-НЕ.

  • Для организации сдвига информации в сторону младшего разряда необходимо сформировать функции возбуждения на J- и К- выходы триггеров:

J1 = q2; J0 = q1; J2 = 0;

_ _

K1 = q2; K0 = q1; K2 = 1.

  • Для организации параллельного вывода информации в прямом виде используем элементы 2И-НЕ, подключенные к инверсным выходам триггеров.

  • Составляем функциональную схему трехразрядного сдвигающего регистра со схемами параллельного ввода и вывода.

  • Для реализации принципиальной электрической схемы выбираем элементную базу, пользуясь приложением данного пособия, параметры выбранных микросхем помещаем в таблицу:

Тип микросхемы

количество

tз+,нс

tз-,нс

U1

U0

Рср мВг

I1, мА

I0,

мА

Краз

  • На основе функциональной схемы регистра параметром элементной базы выполнить проверочный расчет следующих показателей разработанного регистра:

- Среднюю потребляемую мощность

,

где Рср i = ½ (Pi0 + Pi1); Pi0 = Ii0 * Ui0; Pi1 = Ii1 * Ui1;

N – число микросхем регистра.

При этом Рср ≤ Рзад ()

- Быстродействие регистра:

при вводе (выводе)

;

где tср I = ½( tзi+ tзi-);

+, tз- - время задержки включения или выключение i-го элемента соответственно;

N1 – число элементов участвующих в формировании выходного сигнала.

При сдвиге

tз = n * tср,

где n – число разрядов регистра, на которое необходимо произвести сдвиг.

tср – среднее время задержки триггера одного разряда.

При этом tз ≤ tз зад ()

- Согласованность по выходу микросхем, входящих в схему регистра

Краз ≤ Краз’, ()

Где Краз, Краз’ – фактическая и максимальная нагруженность ИЛС.

Если условия (),(),() не выполняются, то необходимо выбрать новую элементную базу.

3. Счетчики.

3.1. Назначение и классификация счетчиков.

Счетчиком называется электронный цифровой узел, обеспечивающий формирование цифрового кода, соответствующего количеству счетных импульсов, последовательно поступающих на его вход.

Простейшим счетчиком является Т-триггер, который может сосчитать два импульса. Максимальное число считываемых импульсов счетчиком называют коэффициентом счета К сч. Для Т-триггера К сч = 2. Если соединить несколько Т-триггеров определенным образом, то можно получить счетчик, у которого К сч ≤ 2m,

где m - число триггеров, соединенных в счетчик.

Переход счетчика в новое состояние осуществляется под воздействием очередного счетного импульса.

Существует достаточно большое разнообразие счетчиков, отличающихся друг от друга по различным признакам, в соответствии с которыми можно провести их классификацию.

По порядку изменения состояний счетчики подразделяются на:

  • счетчики с естественным порядком счета, в которых каждое последующее значение кода отличается от предыдущего на «1»;

  • счетчики с произвольным порядком счета, в которых коды в соседних состояний отличаются более чем на «1». По направлению счета счетчики подразделяются на:

  • суммирующие, в которых последующее состояние больше предыдущего;

  • вычитающие, в которых последующее состояние меньше предыдущего;

  • реверсивные, в которых в зависимости от управляющего сигнала осуществляется переключение либо суммирование, либо вычитание. По модулю счета счетчики подразделяются на:

  • двоичные, у которых К сч =2m

  • недвоичные (двоично-кодированные), у которых

К сч ≠ 2m

По способу организации межразрядных связей счетчики подразделяются на:

  • счетчики с последовательным переносом, в которых переключение триггеров осуществляется последовательно один за другим;

  • счетчики с параллельным переносом, в которых переключение всех триггеров осуществляется одновременно по сигналу синхронизации;

  • счетчики с комбинированным последовательно-параллельным переносом. Основными показателями счетчиков являются:

  • емкость, которая характеризует максимальное число импульсов, которое может сосчитана, и численно равна Ксч;

  • быстродействие, которое может быть определено либо разрешающей способностью , характеризующий интервал времени между двумя счетными импульсами, либо временем установки tуст, характеризующим интервал времени между моментом поступления счетного импульса и моментом завершения переходных процессов в схемах.

    1. Двойные счетчики.

      1. Счетчик с последовательным переносом.

Рассмотрим принцип построения двухразрядного счетчика, с Ксч = 22 = 4, имеющего импульсный счетный вход и два выхода Q0 и Q1.

Этот счетчик имеет 4 различных состояний , последовательность изменения которых можно представить в виде:

_ _ _ _ _

q0 q1, q0 q1, q0 q1, q0 q1. (33)

Если для реализации этого счетчика использовать два Т-триггера, то из (33) можно составить таблицы переходов выходов каждого триггера при воздействии очередного счетного импульса в виде карт Карно (рис.41а). На основе этих таблиц составим карты Карно функций возбуждения для триггера младшего разряда (Т0) и старшего разряда (Т1) (рис.41б). Функции возбуждения представим в ТДНФ, тогда

Т0 = 1 = Х; Т1 - q0 . (34)

Выражение (34) означает, что для работы двухразрядного счетчика на счетный вход младшего разряда необходимо подавать счетные импульсы

Т0 = 1 = Х, а на счетный вход второго разряда – состояние прямого выхода триггера первого разряда q0.

Для i-го разряда Тi = qi-1

Функциональная схема трехразрядного двоичного суммирующего счетчика, в качестве разрядов которого используется синхронный JК – триггер, работающий как Т-триггер, представлена на рис.42а, временные диаграммы его работы на рис.42б.

      1. Счетчик с параллельным переносом.

Рассмотрим принцип построения трехразрядного счетчика с Ксч = 23 = 8, имеющего счетный вход и три выхода Q0, Q1, Q2. Этот счетчик имеет 8 различных состояний, последовательность изменения которых можно представить в виде:

_ _ _ _ _ _ _ _ _ _

q0 q1 q2, q0 q1 q2 , q0 q1q2 , q0 q1 q2, q0 q1 q2,

_ _ _ _ (35)

q0 q1 q2, q0 q1 q2 , q0 q1q2 , q0 q1 q2.

Если для реализации этого счетчика использовать три синхронных JK-триггера, то для получения функций возбуждения составим карты Карно, используя (35), которые представлены на рис.43.

Представим функции возбуждения в ТДНФ:

J0 = K0 =1 J1 =K1 = q0 J2 = K2 = q0 q1 ;

Выражение (36) означает, что для работы трехразрядного двоичного счетчика, с параллельным переносом, необходимо подавать счетные импульсы Х на тактовые входы всех триггеров, на J- и K-входы младшего разряда - сигнал U1, на J-и K-входы второго разряда – сигнал с прямого выхода предыдущего разряда, J- и K-входы третьего разряда – конъюнкцию сигналов с предыдущих двух разрядов и т.д.

Функциональная схема трехразрядного двоичного счетчика с параллельным переносом представлена на рис. 44.

      1. Реверсивный двоичный счетчик с последовательным переносом.

Реверсивный двоичный счетчик осуществляет счет импульсов как в режиме сложения, так и в режиме вычитания. При этом режим счета изменяется схемой управления.

Проведем синтез двухразрядного двоичного счетчика со схемой управления реверсом счета. Для этого для каждого режима счета определяем функции возбуждения, используя результаты, полученные при синтезе суммирующего счетчика (34), тогда

Т0 = Х, Т1 = q0 - суммирующий счетчик,

_ (37)

Т0 = Х, Т1 = q0 - вычитающий счетчик.

Анализируя (37), заключаем, что при изменении направления счета функция возбуждения первого разряда не изменяется, функция же возбуждения второго разряда изменяется, Т-вход второго разряда переключается с прямого выхода предыдущего разряда на инверсный. Следовательно, схема управления реверсом осуществляет коммутацию выходов первого разряда путем подачи сигналов управления реверсом Т+ - счет на сложение и - счет на вычитание.

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5184
Авторов
на СтудИзбе
436
Средний доход
с одного платного файла
Обучение Подробнее