CH3 (Применение программного комплекса Electronics Workbench для разработки радиоэлектронных устройств)

2016-07-31СтудИзба

Описание файла

Документ из архива "Применение программного комплекса Electronics Workbench для разработки радиоэлектронных устройств", который расположен в категории "". Всё это находится в предмете "информатика" из , которые можно найти в файловом архиве . Не смотря на прямую связь этого архива с , его также можно найти и в других разделах. Архив можно найти в разделе "рефераты, доклады и презентации", в предмете "информатика, программирование" в общих файлах.

Онлайн просмотр документа "CH3"

Текст из документа "CH3"

3 Моделирование радиоэлектронных устройств при помощи программного комплекса Electronics Workbench

3.1 Подготовка к работе Electronics Workbench

Для работы с программным комплексом Electronics Workbench V.5.0C необходим IBM - совместимый персональный компьютер с процессором I486 ( рекомендуется Pentium ) и операционной системой Windows 3.1 ( рекомендуется Windows 95 или Windows 98 ).

Для начала работы с программным пакетом необходимо загрузить систему установить Electronics Workbench, если это не было сделано ранее. Затем при помощи «диспетчера файлов» ( для Windows 3.1 ) или «проводника» ( для Windows 95 или Windows 98 ) открыть рабочий каталог, в котором установлен пакет и запустить исполняемый файл WEWB32.EXE. Далее можно произвести настройки интерфейса пользователя, если это необходимо.

3.2 Моделирование интегрирующей RC – цепи

Для начала разработки необходимо загрузить файл-схему в среду Electronics Workbench, если этот файл уже создан и находится на одном из накопителей компьютера. Это делается посредством выполнения команды меню File/Open либо нажатием на соответствующей «горячей кнопке» на панели инструментов и дальнейшим выбором накопителя, каталога, и имени файла. Если же файл еще не создан необходимо создать его посредством выполнения команды File/New и команды File/Save as. При выполнении первой команды будет создан новый файл-схема и в случае если какая либо схема уже загружена в Electronics Workbench, пользователю будет предложено сохранить предидущую схему. Вторая команда предназначена для записи файла на накопитель и установки каталога и имени, под которым будет храниться данная схема /1/.

Далее нужно нанести на рабочую область Electronics Workbench модели деталей необходимые для моделирования данной схемы. Это делается посредством нажатия левой кнопкой мыши на нужном наборе деталей, после чего будет выведено дополнительное окно включающее в себя детали набора, выбором соответствующей детали, при этом на кнопке с рисунком элемента нажимается левая кнопка мыши и элемент переносится на рабочую область (кнопку мыши необходимо держать нажатой до выбора места расположения элемента. В данном случае



Рисунок 3.1 – RC-цепь в Electronics Workbench

необходимы: источник импульсов (Function Generator), резистор (Resistor), конденсатор (Capacitor), осциллограф (Oscilloscope) и заземление (Ground). Резистор и конденсатор находятся в наборе Basics, заземление - в наборе Sources, осциллограф и генератор импульсов - в наборе Instruments.

Каждый элемент имеет точки соединения, которые нужно соединить для получения нужной схемы. Это делается выбором контакта левой кнопкой мыши и переносом ее к другому контакту, при этом создается провод, соединяющий их. При необходимости на провод можно нанести узел ( Connector в наборе Basics ). Затем для наглядности можно перенести элементы в необходимые места рабочей области. Это действие также осуществляется нажатием на элементе левой кнопкой мыши и переносом при удержанной в нажатом состоянии кнопке. При этом соединительные провода будут перемещены автоматически. При необходимости провода можно также перемещать. На рисунке 3.1 представлен вид интерфейса Electronics Workbench после сборки RC – цепи.

Когда схема создана и готова к работе для начала имитации процесса работы необходимо выполнить команду меню щелкнуть кнопку включения питания на панели инструментов. Данное действие приведет в рабочее состояние схему и в одном из окон строки состояния будет показываться время работы схемы, которое не соответствует реальному и зависит от скорости процессора и системы персонального компьютера, именно поэтому для разработки сложных схем рекомендуется использовать компьютеры Pentium II c тактовой частотой процессора 266 MГц. Прервать имитацию можно двумя способами. Если вы закончили работу и просмотр результатов имитации можно повторно щелкнуть переключатель питания. Если же нужно временно прервать работу схемы, например для детального рассмотрения осциллограммы, а затем продолжить работу можно воспользоваться кнопкой Pause, которая также расположена на панели инструментов. Возможность приостановки процесса также является большим достоинством по сравнению с традиционным тестированием радиоэлектронных устройств /1/.

Теперь, для произведения анализа имитации можно изменять номиналы элементов, выводить и настраивать терминалы приборов. В данном случае можно просмотреть осциллограмму на выходе RC-цепи. Для этого нужно вывести окно терминала осциллографа двойным нажатием на компоненте Oscilloscope. Вид панели осциллографа представлен на рисунке 3.2.



Рисунок 3.2 – Вид нормальной панели осциллографа Electronics Workbench

При использовании осциллографа в Electronics Workbench есть возможность просмотра сигнала на протяжении всего времени имитации. Для этого можно воспользоваться кнопкой Expand и воспользоваться полосами прокрутки изображения, чтобы перевести панель в нормальный режим используется кнопка Reduce.

Вид расширенной панели осциллографа показан на рисунке 3.3.



Рисунок 3.3 – Расширенная панель осциллографа

Т
еперь для изучения свойств RC-цепи можно изменить сигнал на ее входе. Для этого нужно вывести при помощи двойного нажатия кнопкой мыши на компоненте на экран панель генератора импульсов. Ее вид представлен на рисунке 3.4

Рисунок 3.4 – Панель генератора импульсов

При помощи генератора импульсов можно формировать три вида сигналов: синусоидальный, пилообразный и прямоугольный. В данном случае для анализа нужен прямоугольный импульс. Для перевода генератора в нужный режим требуется нажать соответствующую кнопку на панели. Также можно изменить другие параметры – частоту и амплитуду сигнала.

Перед изменением каких либо параметров следует отключать источники питания схемы, иначе возможно получение неверных результатов.

Выходной сигнал интегрирующей цепи показан на рисунке 3.5.



Рисунок 3.5 – Сигнал на выходе интегрирующей RC – цепи

Для того, чтобы изменить каие-либо параметры элементов схемы нужно дважды щелкнуть левой кнопкой мыши на нужном элементе, при этом будет выведено окно свойств элемента. Пример такого окна приведен на рисунке 3.6.



Рисунок 3.6 Окно параметров резистора

Кроме анализа прямого наблюдения за терминалами инструментов Electronics Workbench позволяет выполнить дополнительные виды анализа. В качестве примера для данной схемы можно привести получение АЧХ и ФЧХ схемы как четырехполюсника. Т.е. при расчете на вход схемы будет подаваться сигнал различной частоты и будет произведен анализ зависимости вида выходного сигнала от входного. При этом нужно будет задать начальную и конечную частоты, на которых будет произведен анализ. Для проведения этого анализа нужно остановить работу цепи , т.е. воспользоваться переключателем питания или кнопкой Pause и выполнить команду меню Analysis / AC Frequency. Перед расчетом будет выведено окно параметров анализа. Вид этого окна приведен на рисунке 3.7. При необходимости можно изменить некоторые из параметров: Start frequency (начальная частота), End frequency (конечная частота), Sweep type (тип горизонтальной оси на конечном графике), Number of points (количество точек анализа). В данном случае удобно установить количество исследуемых точек равным 1000 для получения более гладкого графика, тип горизонтальной оси – логарифмическим и диапазон частот от 1Гц до 100 КГц.



Рисунок 3.7 – Параметры анализа AC Frequency

Для получения графиков АЧХ и ФЧХ нужно нажать кнопку Simulate в окне параметров анализа, после чего будет выведено окно результатов представленное на рисунке 3.8.



Рисунок 3.8 – АЧХ и ФЧХ интегрирующей RC - цепи

3.3 Моделирование дифиренцирующей RC – цепи

Для моделирования дифференцирующей RC – цепи можно воспользоваться уже готовым файлом схемы интегрирующей цепи, описанной в пункте 3.2. Для этого необходимо загрузить в среду Electronics Workbench этот файл, воспользовавшись командой меню File/Open и выбрав соответствующий каталог и файл, и поменять расположение в схеме резистора и конденсатора. При этом будет удобно пользоваться командой Rotate, которая доступна при нажатии правой кнопкой мыши на соответствующем элементе и передвижением элементов и проводов путем выбора и переноса контактов при нажатой левой клавише мыши. Если же файл схемы, описанной в пункте 3.2 отсутствует на накопителях, то можно повторить операции подготовки схемы к работе, описанные в пункте 3.2. Вид созданной схемы приведен на рисунке 3.8.



Рисунок 3.9 – Дифференцирующая RC - цепь

С дифференцирующей RC – цепью можно проделать те же виды анализа, что были описаны в пункте 3.2. На рисунке 3.10 показан сигнал на выходе цепи, а на рисунке 3.11. АЧХ и ФЧХ схемы.



Рисунок 3.10 – Сигнал на выходе дифференцирующей RC - цепи

После внесения изменений или окончания работ схему можно сохранить, воспользовавшись командой меню File/Save, если нужно сохранить в том же файле, либо File/Save As если нужно сохранить схему под другим именем или в другом каталоге.



Рисунок 3.11 – АЧХ и ФЧХ дифференцирующей RC - цепи

3.4 Моделирование транзисторного автогенератора

Для моделирования транзисторного автогенератора необходимо собрать схему, представленную на рисунке 3.12. Для этого нужно нанести все компоненты схемы на рабочую область Electronics Workbench и соединить все контакты проводниками. Модель транзистора находится в наборе деталей Transistors, а источник питания в наборе Sources. После соединения моделей деталей в схему необходимо настроить параметры каждого компонента путем двойного нажатия на нем левой клавишей мыши и заполнением окон параметров. Боле подробно данная схема описана в разделе 1. После настройки параметров можно попытаться включить источник питания путем нажатия клавиши переключения питания и проверить наблюдением за панелью осциллографа, выходит ли генератор в режим генерации. Если же на выходе не появляется сигнал переменного напряжения, то нужно повторить расчет параметров схемы и изменить их соответствующим образом.


Рисунок 3.12 – Модель транзисторного автогенератора

За сигналом на выходе генератора удобно наблюдать, используя расширенное окно терминала осциллографа. На рисунке 3.13 показан момент начала генерации сигнала и момент установки стабильного режима транзисторного автогенератора. Для более детального изучения можно пользуясь полосами прокрутки и изменениями параметров терминала.

Для получения более точной модели можно заменить компоненты реальными моделями существующих, т.е. например заменить идеальный транзистор моделью реально существующего транзистора. Electronics Workbench включает в себя достаточно большое количество реальных моделей деталей широко известных производителей.


Рисунок 3.13 – Сигнал на выходе транзисторного автогенератора

После завершения работы с программным комплексом нужно закрыть программу, предварительно сохранив схему, если это необходимо.

73


Свежие статьи
Популярно сейчас
Почему делать на заказ в разы дороже, чем купить готовую учебную работу на СтудИзбе? Наши учебные работы продаются каждый год, тогда как большинство заказов выполняются с нуля. Найдите подходящий учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Нашёл ошибку?
Или хочешь предложить что-то улучшить на этой странице? Напиши об этом и получи бонус!
Бонус рассчитывается индивидуально в каждом случае и может быть в виде баллов или бесплатной услуги от студизбы.
Предложить исправление
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5140
Авторов
на СтудИзбе
441
Средний доход
с одного платного файла
Обучение Подробнее