Для студентов МГУ им. Ломоносова по предмету Общий практикумЗадание 1 - Структурный анализ схемЗадание 1 - Структурный анализ схем 2019-05-12СтудИзба

Другое: Задание 1 - Структурный анализ схем

Описание

Описание файла отсутствует

Характеристики учебной работы

Учебное заведение
Семестр
Просмотров
53
Скачиваний
0
Размер
289,87 Kb

Список файлов

Схема ut1

module top(a, sel, out);

input [2:0] a;

input sel;

output [1:0] out;

wire [2:0] a;

wire sel;

wire [1:0] out;

wire [3:0] z;

wire w1, w2, w3, w4, w5, w6, w7;

not (z[0], a[0]);

xnor (z[1], a[0], a[1]);

or (w1, a[0], a[1]);

xnor (z[2], a[2], w1);

and (w2, a[2], a[1]);

and (w3, a[2], a[0]);

nor (z[3], w2, w3);

nor (w4, sel, z[3]);

nor (w5, sel, z[2]);

and (w6, sel, z[1]);

and (w7, sel, z[0]);

or (out[1], w4, w6);

or (out[0], w5, w7);

endmodule

Схема ut2

// Generated by Cadence Encounter(R) RTL Compiler RC11.22 - v11.20-s017_1

// Verification Directory fv/top

module top(a, b, c, d, out1, out2, out3, out4);

input [99:0] a;

input [94:0] b;

input [104:0] c;

input d;

output out1, out2, out3, out4;

wire [99:0] a;

wire [94:0] b;

wire [104:0] c;

wire d;

wire out1, out2, out3, out4;

wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;

wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;

wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23;

wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31;

wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39;

wire n_40, n_41, n_42, n_43, n_44, n_45, n_46, n_47;

wire n_48, n_49, n_50, n_51, n_52, n_53, n_54, n_55;

wire n_56, n_57, n_58, n_59, n_60, n_61, n_62, n_63;

wire n_64, n_65, n_66, n_67, n_68, n_69, n_70, n_71;

wire n_72, n_73, n_74, n_75, n_76, n_77, n_78, n_79;

wire n_80, n_81, n_82, n_83, n_84, n_85, n_86, n_87;

wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95;

wire n_96, n_97, n_98, n_99, n_100, n_101, n_102, n_103;

wire n_104, n_105, n_106, n_107, n_108, n_109, n_110, n_111;

wire n_112, n_113, n_114, n_115, n_116, n_117, n_118, n_119;

wire n_120, n_121, n_122, n_123, n_124, n_125, n_126, n_127;

wire n_128, n_129, n_130, n_131, n_132, n_133, n_134, n_135;

wire n_136, n_137, n_138, n_139, n_140, n_142, n_143, n_144;

wire n_145, n_146, n_148;

nand g11719 (out1, n_146, n_145);

nand g11720 (out2, n_142, n_138);

nand g11731 (out3, n_148, n_137);

nand g11721 (out4, n_143, n_137);

nand g11722 (n_146, n_144, d);

or g11724 (n_145, n_136, n_144);

or g11723 (n_143, n_140, n_144);

or g11725 (n_142, n_139, n_144);

or g11717 (n_148, n_139, n_140);

nor g11726 (n_144, n_70, n_64, n_135);

nand g11732 (n_138, n_139, d);

nand g11733 (n_137, n_139, n_140);

not g11735 (n_136, n_139);

nor g11736 (n_139, n_13, n_118, n_115, n_134);

or g11727 (n_135, c[90], c[3], n_39, n_133);

nand g11737 (n_134, a[96], b[75], a[99], n_131);

nand g11728 (n_133, n_52, n_36, n_42, n_132);

nor g11729 (n_132, c[67], c[68], c[91], n_130);

and g11740 (n_131, b[77], b[76], n_67, n_129);

or g11730 (n_130, c[92], n_54, n_55, n_128);

nor g11742 (n_129, n_11, n_37, n_34, n_127);

or g11734 (n_128, c[80], n_21, n_41, n_125);

nand g11744 (n_127, a[78], a[79], a[9], n_126);

and g11745 (n_126, b[29], b[28], b[27], n_124);

nand g11738 (n_125, n_35, n_27, n_33, n_123);

and g11747 (n_124, b[30], n_75, n_122);

nor g11739 (n_123, c[98], n_20, n_121);

nor g11750 (n_122, n_31, n_30, n_120);

nand g11741 (n_121, b[46], b[58], b[57], n_119);

nand g11751 (n_120, b[85], n_117, b[86]);

nor g11743 (n_119, n_118, n_66, n_111, n_116);

nor g11752 (n_117, n_10, n_97, n_114);

or g11746 (n_116, n_38, n_40, n_115, n_113);

nand g11754 (n_114, b[36], n_112, b[18]);

or g11748 (n_113, c[102], c[94], c[101], n_110);

nor g11756 (n_112, n_22, n_19, n_111, n_109);

nand g11749 (n_110, b[35], b[71], b[36], n_108);

or g11757 (n_109, n_18, n_17, n_107);

and g11753 (n_108, b[72], n_32, n_59, n_106);

nand g11759 (n_107, b[87], b[46], a[77], n_105);

nor g11755 (n_106, c[0], n_29, n_88, n_104);

nor g11761 (n_105, n_53, n_51, n_50, n_103);

nand g11758 (n_104, b[27], b[43], b[34], n_102);

nand g11762 (n_103, b[93], n_101, b[94]);

and g11760 (n_102, b[56], b[54], b[44], n_100);

and g11764 (n_101, a[62], a[39], a[40], n_99);

and g11763 (n_100, b[77], b[73], n_61, n_98);

and g11765 (n_99, b[54], b[45], b[26], n_96);

nor g11766 (n_98, n_48, n_69, n_95);

nand g11767 (n_97, b[13], b[37], b[14], n_94);

and g11769 (n_96, b[72], b[71], b[66], n_93);

nand g11768 (n_95, c[10], b[55], b[5], n_92);

and g11770 (n_94, b[56], b[55], b[38], n_91);

nor g11773 (n_93, n_47, n_46, n_45, n_90);

nor g11771 (n_92, n_12, n_68, n_89);

nor g11772 (n_91, n_9, n_58, n_28, n_87);

nand g11775 (n_90, a[41], a[22], a[23], n_86);

nand g11774 (n_89, b[7], b[29], b[28], n_85);

nand g11776 (n_88, b[13], b[18], b[14], n_84);

nand g11777 (n_87, b[83], b[34], a[87], n_83);

and g11778 (n_86, b[73], b[43], a[93], n_82);

and g11779 (n_85, b[66], b[38], b[37], n_81);

and g11780 (n_84, b[45], b[30], b[26], n_80);

and g11781 (n_83, b[57], b[44], b[35], n_78);

and g11782 (n_82, b[74], n_79, n_74, n_77);

and g11783 (n_81, b[76], b[74], b[70], n_76);

and g11784 (n_80, b[75], n_79, n_73);

and g11785 (n_78, b[70], b[58], n_72);

nor g11786 (n_77, n_60, n_44, n_43, n_71);

and g11787 (n_76, n_74, n_75, n_57, n_65);

nor g11788 (n_73, n_62, n_56, n_63);

nor g11789 (n_72, n_26, n_25, n_24, n_23);

nand g11790 (n_111, b[22], b[16], b[15], n_49);

nand g11791 (n_71, a[48], b[79], b[80], n_15);

nand g11803 (n_70, c[4], n_16, c[5]);

nand g11819 (n_69, b[4], b[2], b[3], n_7);

nand g11821 (n_68, n_0, c[14], b[0], n_6);

not g11822 (n_67, n_66);

nor g11832 (n_65, c[50], c[55], c[12], n_5);

nand g11833 (n_64, n_8, c[22], c[28], n_1);

nand g11849 (n_63, c[8], c[13], c[7], n_3);

nand g11792 (n_62, c[29], n_2, c[2]);

not g11793 (n_61, n_60);

not g11798 (n_59, n_58);

nor g11802 (n_57, c[57], c[59], n_14);

nand g11806 (n_56, c[26], c[23], c[25], n_4);

or g11808 (n_55, c[69], c[70], c[63], c[64]);

or g11809 (n_54, c[73], c[74], c[47], c[46]);

nand g11810 (n_53, a[32], a[30], a[31], a[33]);

nor g11811 (n_52, c[65], c[66], c[85], c[86]);

nand g11812 (n_51, a[28], a[24], a[25], a[29]);

nand g11813 (n_50, a[18], a[16], a[17], a[19]);

and g11814 (n_49, b[23], b[24], b[25], b[17]);

or g11815 (n_48, c[18], c[37], c[39], c[56]);

nand g11816 (n_47, a[82], a[74], a[75], a[92]);

nand g11817 (n_46, a[68], a[64], a[67], a[69]);

nand g11818 (n_118, b[60], b[61], b[62], b[59]);

nand g11820 (n_45, a[61], a[55], a[56], a[63]);

nand g11823 (n_66, b[48], b[49], b[50], b[47]);

nand g11824 (n_44, a[90], a[88], a[89], a[91]);

nand g11825 (n_43, a[65], a[49], a[59], a[66]);

nor g11826 (n_42, c[61], c[62], c[49], c[48]);

or g11827 (n_41, c[53], c[54], c[45], c[44]);

nand g11828 (n_115, b[41], b[39], b[40], b[42]);

or g11829 (n_40, c[30], c[35], c[36], c[40]);

or g11830 (n_39, c[34], c[75], c[76], c[89]);

or g11831 (n_38, c[41], c[51], c[52], c[93]);

nand g11834 (n_37, a[7], a[5], a[6], a[8]);

nor g11835 (n_36, c[77], c[78], c[43], c[42]);

nor g11836 (n_35, c[103], c[104], c[87], c[88]);

nand g11837 (n_34, a[3], a[1], a[2], a[4]);

nor g11838 (n_33, c[71], c[72], c[95], c[96]);

and g11839 (n_32, c[1], c[16], c[17], c[19]);

nand g11840 (n_31, a[35], a[20], a[21], a[36]);

nand g11841 (n_30, a[14], a[12], a[13], a[15]);

nand g11842 (n_29, b[11], c[20], b[10], b[12]);

nand g11843 (n_28, a[43], b[90], a[42], a[86]);

nor g11844 (n_27, c[83], c[84], c[99], c[100]);

nand g11845 (n_26, a[27], b[92], a[26], a[85]);

nand g11846 (n_25, a[81], a[73], a[80], b[91]);

nand g11847 (n_24, a[60], b[81], b[82], a[72]);

nand g11848 (n_23, a[54], a[50], a[51], a[53]);

nand g11850 (n_22, a[52], a[46], a[47], a[57]);

nand g11794 (n_60, b[67], b[68], b[69]);

and g11795 (n_75, b[31], b[33], b[32]);

and g11796 (n_74, b[51], b[53], b[52]);

or g11797 (n_21, c[31], c[32], c[79]);

Схема ut3

// Generated by Cadence Encounter(R) RTL Compiler RC11.22 - v11.20-s017_1

// Verification Directory fv/top

module top(a, b, out);

input [11:0] a, b;

output [35:0] out;

wire [11:0] a, b;

wire [35:0] out;

wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;

wire n_8, n_9, n_10, n_11, n_12, n_13, n_15, n_16;

wire n_17, n_18, n_19, n_20, n_21, n_22, n_23, n_24;

wire n_25, n_26, n_27, n_28, n_29, n_30, n_31, n_32;

wire n_33, n_34, n_35, n_36, n_37, n_38, n_39, n_40;

wire n_41, n_42, n_43, n_44, n_45, n_46, n_47, n_48;

wire n_49, n_50, n_51, n_52, n_53, n_54, n_55, n_56;

wire n_57, n_58, n_59, n_60, n_61, n_62, n_64, n_65;

wire n_66, n_69, n_70, n_73, n_75, n_76, n_77, n_80;

wire n_81, n_84, n_87, n_88, n_89, n_91, n_92, n_93;

wire n_94, n_97, n_98, n_99, n_101, n_103, n_104, n_105;

wire n_107, n_108, n_109, n_111, n_112, n_114, n_115, n_116;

wire n_117, n_118, n_119, n_120, n_125, n_126, n_127, n_128;

wire n_129, n_130, n_131, n_135, n_136, n_137, n_138, n_139;

wire n_140, n_141, n_145, n_146, n_147, n_148, n_149, n_150;

wire n_151, n_153, n_154, n_155, n_158, n_187, n_188;

buf g0 (out[1], out[2]);

xnor g1857(out[34], n_154, out[33]);

xnor g1858(out[35], n_158, n_155);

xnor g1859(out[33], n_158, n_153);

xnor g1860(out[31], n_145, out[30]);

nand g1863(n_155, n_18, n_150);

nand g1861(n_154, n_146, out[30]);

nor g1862(n_153, n_148, n_49);

xnor g1864(out[32], n_151, n_149);

xnor g1866(out[30], n_151, n_147);

nand g1868(n_150, n_27, n_149);

nor g1867(n_148, n_147, n_48);

not g1869(n_146, n_145);

xnor g1865(out[28], n_135, out[27]);

nand g1872(n_149, n_36, n_140);

nand g1870(n_145, n_136, out[27]);

nor g1871(n_147, n_138, n_5);

xnor g1873(out[29], n_141, n_139);

xnor g1875(out[27], n_141, n_137);

or g1879(n_140, n_139, n_58);

and g1876(n_138, n_137, n_4);

not g1877(n_136, n_135);

xnor g1874(out[25], n_125, out[24]);

nand g1878(n_135, n_126, out[24]);

nor g1881(n_139, n_130, n_54);

nand g1880(n_137, n_7, n_128);

xnor g1883(out[26], n_131, n_129);

xnor g1882(out[24], n_131, n_127);

nor g1888(n_130, n_129, n_55);

nand g1885(n_128, n_3, n_127);

not g1886(n_126, n_125);

xnor g1884(out[22], n_114, out[21]);

nand g1887(n_125, n_120, out[21]);

nor g1891(n_129, n_118, n_42);

nand g1889(n_127, n_1, n_116);

xnor g1893(out[23], n_119, n_117);

nand g1890(out[19], n_112, n_120);

xnor g1892(out[21], n_119, n_115);

and g1898(n_118, n_117, n_59);

or g1894(n_116, n_115, n_6);

not g1895(n_120, n_114);

nor g1896(n_114, out[18], n_111);

nand g1897(n_112, n_111, out[18]);

nand g1900(n_117, n_32, n_109);

nor g1899(n_115, n_105, n_39);

xnor g1903(out[20], n_107, n_108);

nand g1906(n_109, n_31, n_108);

xnor g1902(out[18], n_107, n_104);

nand g1901(out[16], n_103, n_111);

nor g1904(n_105, n_104, n_38);

nand g1907(n_103, n_101, out[15]);

nand g1909(n_108, n_33, n_98);

or g1905(n_111, out[15], n_101);

nor g1908(n_104, n_94, n_45);

xnor g1911(out[17], n_99, n_97);

xnor g1910(out[15], n_99, n_93);

nand g1915(n_98, n_20, n_97);

xnor g1912(out[13], n_92, out[12]);

nor g1913(n_94, n_93, n_44);

nor g1914(n_101, n_91, n_92);

nand g1917(n_97, n_26, n_88);

nor g1916(n_93, n_84, n_47);

not g1918(out[12], n_91);

xnor g1920(out[14], n_89, n_87);

xnor g1919(n_91, n_89, n_188);

or g1923(n_88, n_87, n_22);

xnor g1921(out[10], out[9], n_80);

nor g1922(n_84, n_188, n_46);

nand g1924(n_92, out[9], n_81);

nor g1926(n_87, n_76, n_34);

xnor g1928(out[11], n_77, n_75);

not g1931(n_81, n_80);

xnor g1929(out[7], out[6], n_69);

xnor g1927(out[9], n_77, n_73);

nand g1932(n_80, out[6], n_70);

nor g1933(n_76, n_75, n_16);

xnor g1934(out[4], out[2], out[3]);

not g1936(n_70, n_69);

nor g1938(n_75, n_65, n_29);

xnor g1939(out[8], n_66, n_64);

nand g1935(n_73, n_11, n_62);

nand g1937(n_69, out[0], out[3]);

xnor g1940(out[6], n_66, n_61);

and g1942(n_65, n_64, n_35);

xnor g1944(out[5], n_56, n_60);

or g1941(n_62, n_61, n_51);

xnor g1943(out[3], n_40, n_60);

nand g1946(n_64, n_53, n_57);

nand g1956(n_119, n_43, n_59);

nor g1958(n_141, n_58, n_37);

not g1959(out[0], out[2]);

nor g1945(n_61, n_41, n_0);

nand g1954(n_57, n_52, n_56);

nor g1955(n_131, n_55, n_54);

nand g1957(n_60, n_53, n_52);

nor g1960(out[2], n_56, n_10);

nor g1952(n_66, n_12, n_51);

nand g1950(n_77, n_13, n_50);

nor g1953(n_151, n_49, n_48);

or g1951(n_89, n_47, n_46);

nor g1949(n_99, n_45, n_44);

not g1974(n_43, n_42);

nor g1948(n_41, n_40, n_2);

nor g1947(n_107, n_39, n_38);

not g1983(n_37, n_36);

nand g1980(n_35, b[2], n_28);

nor g1969(n_34, n_15, b[3]);

xor g1961(n_158, b[11], a[11]);

nand g1962(n_33, a[5], n_19);

nand g1963(n_32, a[6], n_30);

nor g1965(n_54, n_24, b[8]);

nand g1984(n_36, a[9], n_23);

nor g1966(n_56, n_9, b[0]);

or g1967(n_31, n_30, a[6]);

nor g1968(n_29, n_28, b[2]);

nand g1971(n_27, b[10], n_17);

or g1972(n_53, n_8, b[1]);

nand g1985(n_59, b[7], n_25);

nand g1973(n_26, a[4], n_21);

nor g1975(n_42, n_25, b[7]);

and g1976(n_55, n_24, b[8]);

nor g1979(n_58, n_23, a[9]);

nor g1977(n_22, n_21, a[4]);

or g1978(n_20, n_19, a[5]);

or g1981(n_18, n_17, b[10]);

and g1982(n_16, n_15, b[3]);

not g1995(n_12, n_11);

and g1970(n_10, n_9, b[0]);

nand g1964(n_52, b[1], n_8);

and g1997(n_39, a[6], b[6]);

nand g1989(n_7, b[8], a[8]);

nor g2000(n_48, b[10], a[10]);

nor g1994(n_51, b[2], a[2]);

nand g1996(n_11, b[2], a[2]);

nand g1993(n_13, b[3], a[3]);

and g2008(n_45, a[5], b[5]);

nor g1988(n_6, a[7], b[7]);

and g1990(n_5, a[9], b[9]);

nor g2006(n_44, b[5], a[5]);

or g1998(n_4, a[9], b[9]);

nor g2004(n_46, b[4], a[4]);

and g2003(n_47, a[4], b[4]);

and g2002(n_49, a[10], b[10]);

or g2005(n_3, a[8], b[8]);

or g1987(n_50, b[3], a[3]);

nor g2007(n_2, a[1], b[1]);

nor g1986(n_38, b[6], a[6]);

nand g2001(n_1, b[7], a[7]);

and g1999(n_0, a[1], b[1]);

nand g1991(n_40, a[0], b[0]);

not g2015(n_21, b[4]);

not g2018(n_17, a[10]);

not g2013(n_24, a[8]);

not g2012(n_25, a[7]);

not g2019(n_19, b[5]);

not g2009(n_8, a[1]);

not g2016(n_30, b[6]);

not g2014(n_28, a[2]);

not g2017(n_15, a[3]);

not g2010(n_23, b[9]);

not g2011(n_9, a[0]);

and g2(n_188, n_187, n_13);

nand g3(n_187, n_73, n_50);

endmodule

Схема ut4

// Generated by Cadence Encounter(R) RTL Compiler RC11.22 - v11.20-s017_1

// Verification Directory fv/top

module top(a, b, out);

input [127:0] a;

input [6:0] b;

output [2:0] out;

wire [127:0] a;

wire [6:0] b;

wire [2:0] out;

wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;

wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;

wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23;

wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31;

wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39;

wire n_40, n_41, n_42, n_43, n_44, n_45, n_46, n_47;

wire n_48, n_49, n_50, n_51, n_52, n_53, n_54, n_55;

wire n_56, n_57, n_58, n_59, n_60, n_61, n_62, n_63;

wire n_64, n_65, n_66, n_67, n_68, n_69, n_70, n_71;

wire n_72, n_73, n_74, n_75, n_76, n_77, n_78, n_79;

wire n_80, n_81, n_82, n_83, n_84, n_85, n_86, n_87;

wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95;

wire n_96, n_97, n_98, n_99, n_100, n_101, n_102, n_103;

wire n_104, n_105, n_106, n_107, n_108, n_109, n_110, n_111;

wire n_112, n_113, n_114, n_115, n_116, n_117, n_118, n_119;

wire n_120, n_121, n_122, n_123, n_124, n_125, n_126, n_127;

wire n_128, n_129, n_130, n_131, n_132, n_133, n_134, n_135;

wire n_136, n_137, n_138, n_139, n_140, n_141, n_142, n_143;

wire n_144, n_145, n_146, n_147, n_148, n_149, n_150, n_151;

wire n_152, n_153, n_154, n_155, n_156, n_157, n_158, n_159;

wire n_160, n_161, n_162, n_163, n_164, n_165, n_166, n_167;

wire n_168, n_169, n_170, n_171, n_172, n_173, n_174, n_175;

wire n_176, n_177, n_178, n_179, n_180, n_181, n_182, n_183;

wire n_184, n_185, n_186, n_187, n_188, n_189, n_190, n_191;

wire n_192, n_193, n_194, n_195, n_196, n_197, n_198, n_199;

wire n_200, n_201, n_202, n_203, n_204, n_205, n_206, n_207;

wire n_208, n_209, n_210, n_211, n_212, n_213, n_214, n_215;

wire n_216, n_217, n_218, n_219, n_220, n_221, n_222, n_223;

wire n_224, n_225, n_226, n_227, n_228, n_229, n_230, n_231;

wire n_232, n_233, n_234, n_235, n_236, n_237, n_238, n_239;

wire n_240, n_241, n_242, n_243, n_244, n_245, n_246, n_247;

wire n_248, n_249, n_250, n_251, n_252, n_253, n_254, n_255;

wire n_256, n_257, n_258, n_259, n_260, n_261, n_262, n_263;

wire n_264, n_265, n_266, n_267, n_268, n_269, n_270, n_271;

wire n_272, n_273, n_274, n_275, n_276, n_277, n_278, n_279;

wire n_280, n_281, n_282, n_283, n_284, n_285, n_286, n_287;

wire n_288, n_289;

wire w, w0, w1, w2, w3, w4;

nand g3072 (out[0], n_288, n_289);

nand g3075 (out[2], n_283, n_286);

nand g3076 (out[1], n_285, n_287);

or g3073 (n_289, b[6], n_280);

nand g3074 (n_288, n_281, b[6]);

nand g3079 (n_287, n_279, n_284);

nand g3077 (n_286, n_278, n_282);

or g3080 (n_285, n_284, n_276);

or g3078 (n_283, n_282, n_277);

nand g3081 (n_281, n_237, n_265, n_267);

nor g3082 (n_280, n_225, n_266, n_264);

nand g3083 (n_279, n_273, n_274);

nand g3084 (n_278, n_263, n_275);

nor g3085 (n_277, n_262, n_271);

nor g3086 (n_276, n_268, n_269);

or g3093 (n_275, n_270, n_254);

nand g3094 (n_274, n_251, n_272);

or g3095 (n_273, n_272, n_250);

nor g3096 (n_271, n_270, n_260);

and g3097 (n_269, n_272, n_261);

nor g3098 (n_268, n_272, n_259);

or g3087 (n_267, b[5], n_255);

nor g3088 (n_266, b[5], n_256);

nand g3089 (n_265, n_258, b[5]);

nor g3090 (n_264, n_11, n_257);

nand g3091 (n_263, n_253, n_270);

and g3092 (n_262, n_270, n_252);

or g3106 (n_261, n_249, n_181, n_222, n_248);

nor g3107 (n_260, n_213, n_244, n_212, n_221);

nor g3108 (n_259, n_247, n_215, n_201, n_185);

or g3109 (n_258, n_239, n_238, n_243, n_242);

nor g3110 (n_257, n_227, n_229, n_183, n_232);

nor g3099 (n_256, n_220, n_219, n_218);

nor g3100 (n_255, n_235, n_236, n_234);

nor g3101 (n_254, n_207, n_194, n_209, n_245);

or g3102 (n_253, n_211, n_217, n_203, n_196);

or g3103 (n_252, n_205, n_204, n_197, n_199);

or g3104 (n_251, n_191, n_190, n_188, n_189);

nor g3105 (n_250, n_192, n_184, n_187, n_186);

nor g3142 (n_249, n_240, n_226);

nor g3143 (n_248, n_246, n_231);

nor g3144 (n_247, n_246, n_224);

nor g3145 (n_245, n_246, n_241);

nor g3146 (n_244, n_246, n_228);

nor g3147 (n_243, n_230, n_208);

nor g3148 (n_242, n_240, n_241);

nor g3149 (n_239, n_246, n_193);

nor g3150 (n_238, n_233, n_206);

or g3151 (n_237, n_223, n_210);

nor g3152 (n_236, n_246, n_195);

nor g3153 (n_235, n_240, n_202);

nor g3154 (n_234, n_233, n_216);

nor g3155 (n_232, n_230, n_231);

nor g3156 (n_229, n_240, n_228);

nor g3157 (n_227, n_233, n_226);

nor g3158 (n_225, n_223, n_224);

nor g3111 (n_222, n_233, n_228);

nor g3112 (n_221, n_240, n_231);

nor g3113 (n_220, n_233, n_214);

nor g3114 (n_219, n_246, n_200);

nor g3115 (n_218, n_240, n_198);

nor g3116 (n_217, n_230, n_216);

nor g3117 (n_215, n_240, n_214);

nor g3118 (n_213, n_230, n_226);

nor g3119 (n_212, n_233, n_182);

nor g3120 (n_211, n_240, n_210);

nor g3121 (n_209, n_240, n_208);

nor g3122 (n_207, n_230, n_206);

nor g3123 (n_205, n_230, n_214);

nor g3124 (n_204, n_240, n_224);

nor g3125 (n_203, n_246, n_202);

nor g3126 (n_201, n_230, n_200);

nor g3127 (n_199, n_246, n_198);

nor g3128 (n_197, n_233, n_200);

nor g3129 (n_196, n_233, n_195);

nor g3130 (n_194, n_233, n_193);

nor g3131 (n_192, n_246, n_210);

nor g3132 (n_191, n_230, n_193);

nor g3133 (n_190, n_240, n_206);

nor g3134 (n_189, n_233, n_241);

nor g3135 (n_188, n_246, n_208);

nor g3136 (n_187, n_230, n_195);

nor g3137 (n_186, n_240, n_216);

nor g3138 (n_185, n_233, n_198);

nor g3139 (n_184, n_233, n_202);

nor g3140 (n_183, n_246, n_182);

nor g3141 (n_181, n_230, n_182);

nor g3159 (n_231, n_170, n_171);

nor g3160 (n_216, n_166, n_169);

nor g3161 (n_226, n_167, n_165);

nor g3162 (n_182, n_164, n_163);

nor g3163 (n_210, n_162, n_160);

nor g3164 (n_214, n_161, n_158);

nor g3165 (n_206, n_159, n_152);

nor g3166 (n_224, n_156, n_153);

nor g3167 (n_208, n_157, n_155);

nor g3168 (n_202, n_154, n_149);

nor g3169 (n_198, n_151, n_150);

nor g3170 (n_200, n_180, n_178);

nor g3171 (n_195, n_177, n_179);

nor g3172 (n_193, n_176, n_173);

nor g3173 (n_228, n_174, n_172);

nor g3174 (n_241, n_168, n_175);

nand g3198 (n_180, n_42, n_20, n_23, n_121);

nand g3199 (n_179, n_87, n_17, n_18, n_118);

nand g3200 (n_178, n_103, n_62, n_83, n_120);

nand g3201 (n_177, n_116, n_60, n_40, n_85);

nand g3202 (n_176, n_39, n_15, n_14, n_114);

nand g3203 (n_175, n_106, n_76, n_37, n_143);

nand g3204 (n_174, n_59, n_16, n_38, n_145);

nand g3205 (n_173, n_112, n_75, n_110, n_117);

nand g3206 (n_172, n_113, n_55, n_148, n_115);

nand g3175 (n_171, n_108, n_35, n_36, n_147);

nand g3176 (n_170, n_142, n_74, n_54, n_107);

nand g3177 (n_169, n_105, n_32, n_33, n_141);

nand g3178 (n_168, n_101, n_72, n_51, n_136);

nand g3179 (n_167, n_52, n_30, n_31, n_140);

nand g3180 (n_166, n_135, n_71, n_50, n_104);

nand g3181 (n_165, n_93, n_70, n_102, n_137);

nand g3182 (n_164, n_69, n_28, n_29, n_100);

nand g3183 (n_163, n_98, n_49, n_133, n_134);

nand g3184 (n_162, n_68, n_80, n_27, n_97);

nand g3185 (n_161, n_48, n_24, n_13, n_132);

nand g3186 (n_160, n_95, n_47, n_129, n_130);

nand g3187 (n_159, n_45, n_79, n_57, n_126);

nand g3188 (n_158, n_96, n_67, n_94, n_144);

Схема ut5

// Generated by Cadence Encounter(R) RTL Compiler RC11.22 - v11.20-s017_1

// Verification Directory fv/top

module top(a, b, c, out);

input [7:0] a, b;

input c;

output [15:0] out;

wire [7:0] a, b;

wire c;

wire [15:0] out;

wire [15:0] w1;

wire mn_0, mn_1, mn_2, mn_3, mn_4, mn_5, mn_22, mn_23;

wire mn_24, mn_25, mn_26, mn_27, mn_28, mn_29, mn_30, mn_31;

wire mn_32, mn_33, mn_34, mn_35, mn_36, mn_37, mn_38, mn_39;

wire mn_40, mn_41, mn_42, mn_43, mn_44, mn_45, mn_46, mn_47;

wire mn_48, mn_49, mn_50, mn_51, mn_52, mn_53, mn_54, mn_55;

wire mn_56, mn_57, mn_58, mn_59, mn_60, mn_61, mn_62, mn_63;

wire mn_64, mn_65, mn_66, mn_68, mn_69, mn_70, mn_71, mn_72;

wire mn_73, mn_74, mn_75, mn_76, mn_77, mn_78, mn_79, mn_80;

wire mn_81, mn_82, mn_83, mn_84, mn_85, mn_86, mn_87, mn_88;

wire mn_89, mn_90, mn_91, mn_92, mn_93, mn_94, mn_95, mn_96;

wire mn_97, mn_98, mn_99, mn_100, mn_101, mn_102, mn_103, mn_104;

wire mn_105, mn_106, mn_107, mn_108, mn_109, mn_110, mn_111, mn_112;

wire mn_113, mn_114, mn_115, mn_116, mn_117, mn_118, mn_119, mn_120;

wire mn_121, mn_122, mn_123, mn_124, mn_125, mn_126, mn_127, mn_128;

wire mn_129, mn_130, mn_131, mn_132, mn_133, mn_134, mn_135, mn_136;

wire mn_137, mn_138, mn_139, mn_140, mn_141, mn_142, mn_143, mn_144;

wire mn_145, mn_146, mn_147, mn_148, mn_149, mn_150, mn_151, mn_152;

wire mn_153, mn_154, mn_155, mn_156, mn_157, mn_158, mn_159, mn_160;

wire mn_161, mn_162, mn_163, mn_164, mn_165, mn_167, mn_168, mn_169;

wire mn_170, mn_171, mn_172, mn_173, mn_174, mn_175, mn_176, mn_177;

wire mn_178, mn_179, mn_180, mn_181, mn_182, mn_183, mn_184, mn_185;

wire mn_186, mn_187, mn_188, mn_189, mn_190, mn_191, mn_192, mn_193;

wire mn_194, mn_195, mn_196, mn_197, mn_198, mn_199, mn_200, mn_201;

wire mn_202, mn_203, mn_204, mn_205, mn_206, mn_207, mn_208, mn_209;

wire mn_210, mn_211, mn_212, mn_213, mn_214, mn_215, mn_216, mn_217;

wire mn_218, mn_219, mn_220, mn_221, mn_222, mn_223, mn_224, mn_225;

wire mn_226, mn_227, mn_228, mn_229, mn_230, mn_231, mn_232, mn_233;

wire mn_234, mn_235, mn_236, mn_237, mn_238, mn_239, mn_240, mn_241;

wire mn_242, mn_243, mn_244, mn_245, mn_246, mn_247, mn_248, mn_249;

wire mn_250, mn_251, mn_252, mn_253, mn_255, mn_256, mn_257, mn_258;

wire mn_259, mn_260, mn_261, mn_262, mn_263, mn_264, mn_266, mn_267;

wire mn_268, mn_269, mn_270, mn_271, mn_272, mn_273, mn_274, mn_275;

wire mn_276, mn_277, mn_278, mn_279, mn_280, mn_281, mn_282, mn_283;

wire mn_284, mn_285, mn_286, mn_287, mn_288, mn_289, mn_291, mn_292;

wire mn_293, mn_294, mn_295, mn_296, mn_297, mn_298, mn_299, mn_300;

wire mn_301, mn_302, mn_303, mn_304, mn_305, mn_306, mn_307, mn_308;

wire mn_309, mn_310, mn_311, mn_312, mn_313, mn_314, mn_315, mn_316;

wire mn_317, mn_318, mn_319, mn_321, mn_322, mn_323, mn_324, mn_325;

wire mn_326, mn_327, mn_328, mn_329, mn_330, mn_331, mn_332, mn_333;

wire mn_334, mn_335, mn_336, mn_337, mn_338, mn_339, mn_340, mn_342;

wire mn_343, mn_344, mn_345, mn_346, mn_347, mn_349, mn_350, mn_351;

wire mn_352, mn_353, mn_354, mn_355, mn_356, mn_357, mn_359, mn_360;

wire mn_361, mn_362, mn_363, mn_364, mn_365, mn_366, mn_367, mn_368;

wire mn_369, mn_370, mn_372, mn_373, mn_375, mn_376, mn_378, mn_379;

wire mn_381, mn_382, mn_384, mn_388, mn_390, mn_410, mn_412, mn_413;

wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;

wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;

wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23;

wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31;

wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39;

wire n_40, n_64, n_65, n_66, n_67, n_68, n_69, n_70;

wire n_71, n_72, n_73, n_74, n_75, n_76, n_77, n_78;

wire n_79, n_81, n_82, n_83, n_84, n_85, n_86, n_87;

wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95;

wire n_96;

or g267(n_76, n_0, n_95);

or g268(n_77, n_0, n_93);

or g269(n_64, n_0, n_89);

nor g270(n_79, n_0, n_81);

or g271(n_75, n_0, n_82);

nor g272(n_69, n_0, n_96);

or g273(n_65, n_0, n_87);

nor g274(n_70, n_0, n_94);

nor g275(n_71, n_0, n_92);

nor g276(n_78, n_0, n_91);

or g277(n_66, n_0, n_85);

nor g278(n_72, n_0, n_90);

or g279(n_73, n_0, n_88);

nor g280(n_67, n_0, n_83);

nor g281(n_74, n_0, n_86);

nor g282(n_68, n_0, n_84);

not g283(n_96, b[7]);

not g284(n_89, a[4]);

not g285(n_81, a[0]);

not g286(n_87, a[3]);

not g287(n_92, b[5]);

not g288(n_90, b[4]);

not g289(n_85, a[2]);

not g290(n_86, b[2]);

not g291(n_83, a[1]);

not g292(n_95, a[7]);

not g293(n_82, b[0]);

not g294(n_94, b[6]);

not g295(n_0, c);

not g296(n_91, a[5]);

not g297(n_84, b[1]);

not g298(n_93, a[6]);

not g299(n_88, b[3]);

nand g515(out[15], n_32, n_10);

nand g516(out[14], n_25, n_14);

nand g517(out[13], n_30, n_21);

nand g518(out[5], n_39, n_4);

nand g519(out[10], n_29, n_17);

nand g520(out[4], n_38, n_18);

nand g521(out[3], n_37, n_15);

nand g522(out[12], n_27, n_6);

nand g523(out[2], n_36, n_12);

nand g524(out[9], n_28, n_8);

nand g525(out[1], n_35, n_9);

nand g526(out[0], n_34, n_7);

nand g527(out[11], n_31, n_2);

nand g528(out[8], n_26, n_5);

nand g529(out[7], n_33, n_3);

nand g530(out[6], n_40, n_23);

nand g531(n_40, n_22, n_0);

nand g532(n_39, n_19, n_0);

nand g533(n_38, n_16, n_0);

nand g534(n_37, n_24, n_0);

nand g535(n_36, n_11, n_0);

nand g536(n_35, n_13, n_0);

nand g537(n_34, n_20, n_0);

nand g538(n_33, n_1, n_0);

or g539(n_32, n_96, n_95, c);

or g540(n_31, n_88, n_87, c);

or g541(n_30, n_92, n_91, c);

or g542(n_29, n_86, n_85, c);

or g543(n_28, n_84, n_83, c);

or g544(n_27, n_90, n_89, c);

or g545(n_26, n_82, n_81, c);

or g546(n_25, n_94, n_93, c);

nand g547(n_24, n_88, n_87);

nand g548(n_23, c, w1[6]);

nand g549(n_22, n_94, n_93);

nand g550(n_21, c, w1[13]);

nand g551(n_20, n_82, n_81);

nand g552(n_19, n_92, n_91);

nand g553(n_18, c, w1[4]);

nand g554(n_17, c, w1[10]);

nand g555(n_16, n_90, n_89);

nand g556(n_15, c, w1[3]);

nand g557(n_14, c, w1[14]);

nand g558(n_13, n_84, n_83);

nand g559(n_12, c, w1[2]);

nand g560(n_11, n_86, n_85);

nand g561(n_10, c, w1[15]);

nand g562(n_9, c, w1[1]);

nand g563(n_8, c, w1[9]);

nand g564(n_7, c, w1[0]);

nand g565(n_6, c, w1[12]);

nand g566(n_5, c, w1[8]);

nand g567(n_4, c, w1[5]);

nand g568(n_3, c, w1[7]);

nand g569(n_2, c, w1[11]);

nand g570(n_1, n_96, n_95);

xnor mg2934(w1[14], mn_284, mn_390);

nor mg2936(mn_390, mn_388, mn_329);

xnor mg2937(w1[13], mn_346, mn_413);

nor mg2938(mn_388, mn_413, mn_333);

xnor mg2940(w1[12], mn_353, mn_384);

nand mg2942(mn_384, mn_357, mn_382);

xnor mg2943(w1[11], mn_367, mn_381);

nand mg2944(mn_382, mn_354, mn_381);

nand mg2945(mn_381, mn_359, mn_379);

xnor mg2946(w1[10], mn_370, mn_378);

or mg2947(mn_379, mn_378, mn_364);

nor mg2948(mn_378, mn_376, mn_363);

xnor mg2949(w1[9], mn_369, mn_375);

nor mg2950(mn_376, mn_375, mn_362);

nor mg2951(mn_375, mn_373, mn_356);

Прочти меня!!!

Файл скачан с сайта StudIzba.com

При копировании или цитировании материалов на других сайтах обязательно используйте ссылку на источник

Картинка-подпись
Хочешь зарабатывать на СтудИзбе больше 10к рублей в месяц? Научу бесплатно!
Начать зарабатывать

Комментарии

Поделитесь ссылкой:
Рейтинг-
0
0
0
0
0
Поделитесь ссылкой:
Сопутствующие материалы
Свежие статьи
Популярно сейчас
Как Вы думаете, сколько людей до Вас делали точно такое же задание? 99% студентов выполняют точно такие же задания, как и их предшественники год назад. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5167
Авторов
на СтудИзбе
437
Средний доход
с одного платного файла
Обучение Подробнее