Главная » Учебные материалы » Цифровые устройства и микропроцессоры (ЦУиМП) » Книги » РТУ МИРЭА » 5 семестр » Изучение и моделирование цифровых устройтв с использованием персонального компьютера
Для студентов РТУ МИРЭА по предмету Цифровые устройства и микропроцессоры (ЦУиМП)Изучение и моделирование цифровых устройтв с использованием персонального компьютераИзучение и моделирование цифровых устройтв с использованием персонального компьютера 2018-01-12СтудИзба

Книга: Изучение и моделирование цифровых устройтв с использованием персонального компьютера

Описание

Описание файла отсутствует

Характеристики книги

Учебное заведение
Семестр
Просмотров
121
Скачиваний
0
Размер
8,38 Mb

Список файлов

1(1)

Распознанный текст из изображения:

МИНИСТЕРСТВО ОВЩЕГО И ПРОФЕССИОНАЛЬНОГО ОБРАЗОВАНИЯ РФ МОСКОВСКАЯ 1 ОСУДАРСТВЕННАЯ АКАДЕЬЯИ ГР1 БОРОСТРОЕНИЯ И ИНФОРМАТИКИ

ЭЛЕКТРОНИКА Методические указании по выполнению

лабораторньзк работ Изучение и моделирование цифровьзк тстройств

с использованием персонального компьютера Подписано в печать 22.0б.1998 г Формат ббк84. Иб, Объем 1,4 п.л. Тнразк 100 зкз. Заказ 92.

Москва 1998

1(2)

Распознанный текст из изображения:

УДК 621. 396.6

Р о г о в Б. И Изучеш~е и моделирование цифровык устройств с использованием персонального компьютера: Методические указания по выполненшо лабораторнык работ. — Мл МГАПИ. 1998. — 23 с.

В сборнике представлены две лабораторные работы. позволяющие проследить работу цифровыя устройств. изучаемык на лекция.; в лурсе «Элелтроникап и разработаннык в лурсовом проекте.

Лабораторный практикз м предназначен для студентов специальности 2102 «Автоматизация текнолопнескпк процессов и производства.

Продолжительность каждой работы 12 часов.

МГАПИ, 1998 г.

2(1)

Распознанный текст из изображения:

— Главное Меню = —;,

, Логические вентили

~, Комбинационные схемы ~

Рассмотрим систему управления, реализующую циклограмму: Тц = 13 с, 11 = 1-5 с, 1э = 4-9 с, 1з = 8 — 13 с (рис. 9)

Рис. 9. Циклограмма системы управления . Структурная схема такой системы управления показана на рис.10.

Рис. 10. Структурная схема системы управления

Коэффициент делителя частоты зависит от частоты кварцевого генератора. На его выходе частота должна быть равна 1Гц. Делитель частоты рассмотрен выше, поэтому покажем как моделировать часть структурной схемы, расположенную правее делителя частоты. Для проектирования счетчика можно использовать микросхемы 0 или ХК триггеров или микросхему К555ИЕ7. Выходы счетчика подключаются к дешифратору, а заданная циклограмма реализуется на выходе К8 триггеров, подключенных к соответствующим выходам дешифратора (рис. 11).

ЛАБОРАТОРНАЯ РАБОТА Х 1.

Электронный учебник по цифровым микросхемам

Цельюработы является знакомство с цифровыми микросхемами, изучение принципа их работы.

1. Порядок выиолнения рпботы 1.1. Ознакомиться с работой электронного учебника.

1.2. Выбрать один из разделов учебника, изучить работу исправных микросхем данного раздела.

1.3. Проверить свои знания, включив режим неисправной

микросхемы.

1.4. Оформить отчет по лабораторной работе.

2. Рпботп с этектронньии учебником

После запуска программы (файл "сне.ехе") на экране появляется первое меню (рис. 1,а). Выбрав русскую версию Вы попадаете во вто ое меню (рис. 1,б).

а) б)

Рис. 1. Меню при входе в программу «Электронньш учебник»

А теперь посмотрим, как ~, устроен учебник (меню «От- ~ крыть учебник»). Самое первое что Вы встречаете, начиная ра' боту — зто Главное Меню. Меню содержит название разделов, учебника. Сейчас, для примера, ~ выберите первый раздел — «логические вентили».

2(2)

Распознанный текст из изображения:

, брать микросхему, которую Вы бу, дете изучать. Для этого с помощью 1 ~клавиш управления курсором пе', реместите его в строчку, содержа- ~ щую название нужной микросхемы

! ~~~ 4~~ ррррррр.

микросхему К155ЛИ1 (рис. 2).

Например, Вы выбрали

Логические уровни:

12 -НОЛЬ

Б - один

Общий счет

Очки Штраф

-4

1 2:0

2 ~ 2:О 3 : 2:О

4 ~, 1-4Р44

Рис. 2. Окно при выборе микросхемы К155ЛИ1

Сейчас схема исправна. Для управления входными сигналами используйте клавиши в соответствии с номерами входных переключателей или мышь. При нажатии, например, клавиши с цифрой 6 входной сигнал измениться с логического уровня 1 (темный квадрат) на логический уровень О (светлый квадрат).

3(1)

Распознанный текст из изображения:

ЧЮ~ ~~20~ Ц~Е0> 1,Е0 Рис. 6. Реализация логической функции с использованием деш ифратора.

2 Рис. 7. Реализация логической функции с использованием мультиплексора Рассмотрим моделирование делителя частоты с Кдел=3785. Делитель частоты реализован на трех микросхемах К555ИЕ7 (рис.8). Подсчет коэффициента деления производится счетчиком, построенным на четырех десятичных счетчиках, преобразователях двоично-десятичного кода в код семисегментных индикаторов. Для правильного подсчета коэффициента деления выход делителя частоты соединен с микросхемой счетчика, которая запускает схему счетчика со второго цикла работы делителя частоты.

Подавая на вход микросхемы различные комбинации сигналов можно изучить ее функционирование. Дополнительные сведения о микросхеме можно получить, нажав клавишу помощи Р1. В отчет заносится таблица истинности работы данной микросхемы.

Проверить свои знания можно войдя в режим неисправной микросхемы, включение которого производится нажатием клавиши Еп1ег. Опять подавая различные сигналы, Вы определяете неисправность, которая может быть на одном из входов или на одном из выходов. Убедившись, что один из выводов неисправен, нажмите клавишу Еп1ег, с помощью которой Вы попадаете в режим ответа.

В режиме ответа требуется указать-номер неисправного вывода микросхемы: сначала с помощью меню в верхней правой части экрана указывается группа (входы или выходы) к которой принадлежит неисправный вывод. После того, как выбрана группа с помощью появившегося меню нужно указать номер неисправного вывода.

Если обнаружена неисправность с первой попытки Вы получаете 2 очка. Ошибка на первой попытке принесет Вам 1 штрафное очко, на второй — 2. Правильное решение со второй попытки «стоит» 1 очко.

Если решение не найдено и со второй попытки, Вам будет показан правильный ответ. Схема считается изученной после того, как Вы найдете 4 неисправности. Во время игры информация о текущей неисправности и номере попытки будет выводиться на табло в правой части экрана. Это же табло содержит текущий счет и затраченное время, при этом отсчет времени ведется только в режиме неисправной микросхемы.

Для получения зачета необходимо изучить все относящиеся к текущей игре микросхемы и обнаружить в каждой из них по 4 неисправности. Счет, набранный по окончанию игры, поможет Вам оценить свои знания.

Руководство предназначено для обучения правилам работы с электронным учебником.

4(1)

Распознанный текст из изображения:

К1

55ЛЛ

К155ЛАЗ

К1

55ЛП5 К155ЛЕ4

К155ЛРЗ

К155ЛА2

К155ЛР4

а)

Г 7

б)

г)

д)

е)

рас, 4. Элементы библиотеки

Рис. 3. Микросхемы логических элементов

Микросхема К155ЛА2 содержит один 8-ми входовый элемент «И-НЕ», а К155ЛЕ4 — три 3-х входовых элемента «ИЛИНЕ».

Назначение фУнкциональных' клавиш следУющее:

гЕ1] — информация о работе с библиотекой;

гЕ5] — перемещение на 1-ю страницу библиотеки;

грб] — перемещение на страницу вверх;

гЕЯ вЂ” перемещение на страницу вниз;

гЕЗ] — перемещение на последнюю страницу; .

гЕ)О] — выход.

Для перемещения между элементами на странице используйте клавиши со стрелками или мышь. Когда элемент выбран, следует нажать 1Еп1ег]. Таким образом элемент попадает в редактор схем. Переместите его в нужное место с помощью мыши или клавиш со стрелками и нажмите опять 1Еп1ег],

Особенности функционирования некоторых

цифровых элементов

Большинство элементов библиотеки изучаются в лабораторной работе 1'1 1, а в этом разделе даются пояснения только к некоторым элементам (рис. 4).

Кварцевый генератор (рис. 4, а) позволяет менять частоту от0.1 до 4 кГц, далее представлен динамик (рис. 4, б), источнак напряжения+5 В (рис. 4, в), светодиод (рис. 4, г), земля (рве. 4, д).

— ~~,,~ 1

55 ~

1О 8'

'-'] а ~11

~па 1

Па 6

6'

-'Я 3 ~Я 6 ~К1 П

СД~

Яб 1О =] 12 13

4(2)

Распознанный текст из изображения:

Для реализации логических функций применяются микросхемы К155ЛРЗ и ЛР4, выполняющие функцию «И-ИЛИ-НЕ».

4. Изучение работы комбинационных схем

Выход из системы — клавиша Р10 (Ехп). Дополнительную

информацию вы получите, нажав клавишу Р1 (Не!р),

Загрузив один из файлов, вы попадаете в редактор схем,

который имеет следующее меню (рис. 2):

Широкое применение в цифровых устройствах находят

микросхемы мультиплексоров, используемые для коммутации

двоичных сигналов,

Мультиплексор КП7 (рис. 4.) имеет восемь информацион-

ных входов РО... Р7, три адресных входа 1, 2, 4 и вход разре-

шения Ч. У микросхемы два выхода — прямой и инверсный.

Если на входе разрешения У лог. 1, на прямом выходе О, неза-

висимо от сигналов на других входах. Если на входе разреше-

ния лог. О, сигнал на прямом выходе повторяет сигнал на том

входе, номер которого совпадает с десятичным значением ко-

да на входах 1, 2, 4. На инверсном выходе сигнал всегда про-

тивофазен сигналу на прямом выходе. Наличие входа разре-

шения позволяет строить мультиплексоры на большее число

входов.

Микросхема КП2 содержит два мультиплексора на четыре

информационных входа РО.. РЗ с отдельными входами раз-

решения У и общими адресными входами 1, 2. Каждый муль-

типлексор имеет прямые выводы,

Из микросхем комбинационного типа при разработке циф-

ровых устройств широко используются дешифраторы.

Микросхема ИДЗ имеет четыре адресных входа 1, 2, 4, 8,

два инверсных входа разрешения УО, Ч1„объединенных по И,

16 выходов 0... 15. Если на обоих входах разрешения лог. О, на

том из выходов, номер которого соответствует двоичному

значению входного кода, будет лог. О, на остальных выходах—

лог. 1. Если хотя бы на одном из входов разрешения У лог. 1,

то независимо от состояния входов на всех выходах микро-

схемы формируется лог. 1.

1 Не! 2 Ве! 3%!ге ' 4 Е!ет 5 Раг 8 Розг 9 Мепо 1О Ех!1

Рис. 2. Меню редактора схем

[Е1! (Не!р) — информация о редакторе схем;

[Р2! (Ре1е1е) — используется для удаления провода или элемента схемы, если установить курсор на одном из этих элементов и нажать клавишу Р2 или Ре1;

[РЗ! (%!ге) — используется для соединения элементов схем с помощью проводов. Установить курсор на один из выводов элемента и нажать клавишу РЗ или Еп1ег, затем переместить курсор к выводу следующего элемента (провод перемещается вместе с курсором) и еще раз нажать одну из этих клавиш; [Р41 (Е!етеп1з) — используйте эту клавишу для вставки нового элемента из библиотеки элементов;

[Е5] (Рагате1ег) — с помощью этой клавиши можно изменить частоту кварцевого генератора (от 0.1 до 4.0 кГц);

[Р8! (Розг) — запускается процесс моделирования схемы; [Г9! (Мепц) — вызывается меню со следующими пунктами: Каче (сохранить) — сохраняет схему в файле, Каче-Яц!1- сохраняет схему и выходит в главное меню, Фг!1е - сохранение файла под новым именем, Рпп1 - печать схемы на принтере, Р!о1 - рисование схемы на графопостроителе,Кенарше - запись информации о файле, С!еаг — стирание схемы, С!и!1 — выход в главное меню;

[Р10! (Ехп) — выход в главное меню,

Для вставки новых элементов следует нажать на клавишу Г4. Библиотека элементов имеет след ющее меню:

1Не! 5Ноше 6Р 11 7Р Рп 8Еп!! 10Ех!1

Рис. 3. Меню библиотеки элементов

5(1)

Распознанный текст из изображения:

01УПХ

° . ° ° Ф

° ° 1$

1 °

° ° ° ° °

° °

° °

3! °

Э В ° ° !!

° ° Ь- - В ° 1$

! ° ° ° Ф

° ° °

° ° 3

° $ °

ЩЩЩЯЯ Щ::ЩЯЩф

ИЕПИИИИИ

Шйй

° °

° °

Ф ° .!

11 ° Ф !

В Э Ф

В °

° °

В ° ° ° ° ° °

1 ° ° ° в $ °

° ° ! °

° ° '

° 3 В ° 11 ° °

Э ° ! Ф! °

° Ф .!. °

° ° !

$3"

4

° ° ° В.

!! Э °

° 3 ° ° , °

° 3

° ° $

° ° . Ф ° °

° ° !!Фь ь

Э °

° Ф °

$ ° 1 ° ° ! ° °

° 1 ° °

° °

! 13 Ф!

! ' ° ° ° : °

° ° !

1 ° ! ° ! Э ° ° '

° ° !

! °

° ° Ф!.. ° ° ! °

° ° ° °

° ° ° ° Ф °

Э В ° ° ВФ ° ° °

1пЕогтпа Ьа оп

АсИе гя бетопз Тга ~1 оп

Оесаое соип~егя ое~попя~га ~1оп

е31пату соип1егз беи3опз ига С1оп

Рея С оГ КеуЬоагб е1епеп'с

Яе~еегз Ь1п соипТет с~ето

се!лсе !.еы

° ° В! 11 ° ! ° В ° В ° В

13 ° ° ! ° ° ° Ф ' °

И И!

° В -, Л4 Ф ° 11, В- ° В ° °,

5(2)

Распознанный текст из изображения:

Как известно, основная операция в ПЭВМ вЂ” сложение. Все

другие арифметические операции сводятся к сложению. Опе-

рация сложения двоичных чисел производится с использова-

нием сумматоров,

Микросхемы ИМ1 и ИМ3 — соответственно одноразрядный

и четырехразрядный полные сумматоры. Для изучения работы

сумматоров достаточно исследовать микросхему ИМ3.

На входы А1... А4 микросхемы ИМЗ подается код одного

из суммируемых чисел, на входы В1... В4 — код второго числа,

на вход РΠ— перенос от предыдущей микросхемы. Код суммы

формируется на выходах 81... 84, перенос — на выходе Р.

В состав микросхемы ИП2 входит 8-ми входовый сумма-

тор по модулю 2. Если на его входах четное число сигналов с

уровнем лог. 1, на выходе лог. О., если число единиц на входах

нечетное, на выходе лог.1. В рабочем состоянии на входы Е, О

схемы подается парафазный код (либо набор 10, либо — 01).

Код на выходах микросхемы зависит от четности единиц кода,

поданньгх на входы РО...Р7. Если в коде четное число единиц,

то набор, поданный на входы Е, О передается на выходы Е, О

без изменения. Если же нечетное — то набор на входе инверти-

руется и подается на выход. При подаче на входы Е„' О одина-

ковых сигналов на выходах также будут установлены одина-

ковые сигналы, но инверсные ко входам.

Микросхема ИП3 изучается в другом курсе.

5. Изучение рпбоигы цифровых узлов

Схемы ИЛИ-И, И-И, И-ИЛИ, И-ИЛИ-НЕ ( рис, 5) позволяют реализовать определенные логические функции в зависимости от входных переменных.

Основу последовательных цифровых структур составляют триггеры различных типов, которые могут использоваться самостоятельно или в составе счетчиков, регистров и т.д.

Триггеры имеют два информационных входа 8 и В, используемые для установки соответственно 1 и О, а также два выхода: прямой О. и инверсный.

ЛАБОРАТОРНАЯ РАБОТА Х 2

Схемотехническое моделирование электронных устройств

Целью работы является освоение одной из программ схемотехнического моделирования цифровых устройств

(М1сго(.аЬ), проверка работоспособности электронных схем, разработанных в курсовом проекте по дисциплине «Электроника».

1. Порядок выиолнения работы 1.1. Освоить программу схемотехнического моделирования

Мгсго1.аЬ.

1.2. В графическом редакторе собрать одну из схем, разработанных в курсовом проекте.

1.3. Проверить работоспособность собранного цифрового устройства.

1.4. Печать схемы (можно сохранить в файле и распечатать

позже).

2. Раба»га с ирограямой схемотехнического

моделирования Мгсго1.аБ

МюгоЕаЬ вЂ” это наиболее простая программа схемотехнического моделирования цифровых устройств. Она позволяет проследить работу цифровых устройств, изучаемых на лекциях в курсе «Электроника» и освоить автоматизированное раоочее место инженера проектировщика электронных схем

М1сгоЕаЬ содержит библиотеку электронных элементов, которая включает прототипы наиболее широко используемых микросхем, генератор импульсов, звуковые и световые индикаторы, переключатели и дает пользователю следующие возможности:

° используя библиотеку элементов, пользователь может в

редакторе схем собрать электронное устройство. Сложность схем в этой версии ограничена размером одного экрана (от 20 до 50 элементов), что достаточно для моделирования схем курсового проекта;

6(1)

Распознанный текст из изображения:

Микросхема ИЕ7 — реверсивный двоичный счетчик, считающий до 16. Входы +1 и — 1 служат для подачи тактовых импульсов соответственно при прямом и обратном счете. Вход И. служит для установки счетчика в О, вход С вЂ” для предварительной записи в счетчик информации, поступающей по входам Р1...Р4. Режим предварительной записи используется для построения делителей частоты с перестраиваемым коэффициентом деления. Если этот режим не используется, на выходе С должен постоянно поддерживаться уровень лог. 1.

Микросхема ТМ8 — регистр хранения информации, содер; кащий четыре синхронных Р-триггера, по фукционированию аналогичных триггерам микросхем ТМ2. Сброс триггеров происходит при подаче лог. 0 на вход К., запись — по передне.му фронту на входе С.

Микросхема ИР1 — четырехразрядный сдвигающий регистр, позволяет производить последовательную и параллельную запись информации в триггеры регистра, последователь.ное и параллельное считывание информации, сдвиг информации. Вход С1 служит для подачи тактовых импульсов, сдвигающих информацию по заднему фронту. По заднемч фронту на входе С2 происходит запись в триггеры регистра информации, присутствующей на входах РО...РЗ. Кроме того, есть управляющий вход Ъ'. Запись со входов РО...РЗ может происходить лишь при наличии лог.1 на входе У, сдвиг — при наличии лог. О. Для последовательной записи информации исполь.зуется вход РО (нижний), запись происходит в режиме сдвига.

Микросхема ИР13 — восьмиразрядный сдвигающий регистр, имеет 8 выходов параллельного кода и следующие входы: РО...Р7 — для подачи информации при параллельной записи, РА и Р — для подачи информации при последовательмой записи и сдвиге вправо и влево соответственно, С вЂ” для язодачи тактовых импульсов, Ъ'0 и Ч1 — для управления режимом и К вЂ” для сброса триггеров регистра.

3-х стабильные схемы изучаются в другом курсе.

и-и к Б - триггер 1 кзс- р р~

кв - трикстер и Рис. 5. Цифровые узлы Асинхронные КБ-триггеры с инверсными входами (КЯ- триггер 1) строятся на логических элементах И-НЕ. В этом случае лог. 0 является активным входным сигналом, а лог. 1— пассивным. Информационные входы таких триггеров принято обозначать как инверсные.

Асинхронные КБ-триггеры с прямыми входами (КЯ- триггер Н) строятся на логических элементах ИЛИ-НЕ. В этом случае лог. 1 является активным входным сигналом, а лог. 0— пассивным. Информационные входы таких триггеров принято обозначать как прямые.

6(2)

Распознанный текст из изображения:

К155ТМЗ

К! 55ИР1

К155ИР13

К155ТВ1

к155тью

Рис. б. Микросхемы памяти

Синхронный КЯ-триггер со статическим управлением отличается от асинхронного наличием С-входа, на который поступают синхронизирующие (тактовые) сигналы. Синхронный триггер состоит из асинхронного и комбинационного цифрового устройства. Синхронные триггеры строятся также на логических элементах И-НЕ и ИЛИ-НЕ. Обратите внимание, что теперь входы триггера на И-НЕ элементах прямые, а на ИЛИНЕ инверсные.

Р-триггер имеет один информационный вход О и вход для синхронизации С, Основное назначение 0-триггера — задержка сигнала, поданного на вход Р. Под действием синхросигнала С информация, поступающая на вход О, принимается в триггер, но на выходе Я появляется с задержкой на один такт.

б. Изучениеработ51 схем с ппмятью

Микросхема ТМ2 содержит два Р-триггера (рис. б.). Каждый из них имеет по четыре входа; К вЂ” сброс в О, Б — установка в 1, С вЂ” тактовые (синхро) импульсы, Р— информационный вход. Входы К и Я применяются для установки и сбрвса триггера и имеют приоритет над входом Р. Если на входах К и 8 лог. 1, то по переднему фронту импульса С на выход Я передается логическое значение 0 входа.

Микросхема ТВ1 содержит Ж-триггер. Он имеет девять входов; К сброс в О, Б установка в 1, С подача тактовых импульсов, 1 и К вЂ” управляющие (по три входа, объединенные по схеме И), а также прямой и инверсный выходы. Входы К и Я приоритетные. Если на входах 1 и К лог. О, состояние триггера при подаче импульсов по тактовому входу С не меняется (режим хранения). Если на всех входах 1 лог. 1, хотя бы на одном входе К вЂ” лог. О, по заднему фронту на входе С триггер уста.- навливается в единичное состояние. Если хотя бы на одном входе 1 лог. О, на всех входах К вЂ” лог. 1, по заднему фронту на входе С триггер устанавливается в нулевое состояние. Если на входах Т и К лог. 1, то по заднему фронту на входе С состоя-

ние триггера меняется на противоположное.

К155ИБ5 К155ИБ7

Микросхема ИЕ5 содержит четыре счетных триггера. Один из триггеров имеет отдельный тактовый вход+1 и прямой выход, три оставшиеся триггера соединены между собой так, что образуют делитель на 8. При соединении выхода первого триггера с тактовым входом +1 трех нижних триггеров они образуют делитель на 16. Микросхема имеет два входа К сброса в О, объединенные по схеме И. Сброс триггеров производится при подаче лог. 1 на оба входа К.

Картинка-подпись
Хочешь зарабатывать на СтудИзбе больше 10к рублей в месяц? Научу бесплатно!
Начать зарабатывать

Комментарии

Поделитесь ссылкой:
Рейтинг-
0
0
0
0
0
Поделитесь ссылкой:
Сопутствующие материалы
Моделирование электромеханического привода в программном комплексе ПА9
Схемотехническое моделирование Усилительные устройства
Моделирование влияния нежесткости элементов конструкции на динамические характеристики космического аппарата
Вариант 24 - Отчёт по практике - Проектирование и реализация программного обеспечения с использованием структурного и объектного подходов
ЛР №2 - Изучение основ работы с киберсредой виртуальных предприятий
Изучение технологического процесса контроля работоспособности автоматического радионавигационного радиокомпаса АРК-40 - ПАО Ил
Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Нашёл ошибку?
Или хочешь предложить что-то улучшить на этой странице? Напиши об этом и получи бонус!
Бонус рассчитывается индивидуально в каждом случае и может быть в виде баллов или бесплатной услуги от студизбы.
Предложить исправление
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5136
Авторов
на СтудИзбе
443
Средний доход
с одного платного файла
Обучение Подробнее