Для студентов РТУ МИРЭА по предмету Системы автоматизированного проектирования (САПР)лаба 2лаба 2 2018-01-12СтудИзба

Лабораторная работа: лаба 2

Описание

Описание файла отсутствует

Характеристики лабораторной работы

Учебное заведение
Семестр
Просмотров
142
Скачиваний
3
Размер
4,76 Mb

Список файлов

1

Распознанный текст из изображения:

Лабораторная работа№2.

Моделирование на языке Уеп1од. Верификация на уровне регистровых передач (КТ1.—

уровень).

Цели.

По завершению данной работы, Вы будете способны:

° Создавать испытательный стенд Уеп1од, для проверки АЖР ОЯ иерархической

структуры, созданной в предыдущей работе.

° Пользоваться мастеров создания испытательных стендов, в программной среде

1ЯЕ™ 8.11.

° Создавать основные входные сигналы.

° Проводить имитационное моделирование.

Общая последовательность действий.

1. Создать новый проект.

2. Создать испытательный стенд.

3. Сгенерировать простой входной сигнал.

4. Проверить логическую структуру.

Введение.

В процессе выполнения этой работы, Вы создадите испытательный стенд на языке Уеп1од

для модуля АКР ОЯ выполненного в предыдущей работе. Как часть испытательного

стенда, вы сгенерируете простой входной сигнал, используя последовательные и

параллельные операторы.

Цели.

По завершению данной работы, Вы будете способны:

° Создавать испытательный стенд Чеп1од, для проверки АМР ОЯ иерархической

структуры, созданной в предыдущей работе.

° Пользоваться мастеров создания испытательных стендов, в программной среде

° Создавать основные входные сигналы.

Проводить имитационное моделирование.

2

Распознанный текст из изображения:

Введение. Разработка любого проекта вычислительного устройства (ВУ), предназначенного для исполнения в программируемой логической интегральной схеме (ПЛИС), выполняется в несколько этапов (рисунок 2.1).

Моделирование на УНВЬ

САЯР ПЛИС

Рисунок 2.1 Схема разработки проекта ВУ, предназначенного для исполнения на ПЛИС

Вначале ВУ описывается в виде своей поведенческой (ЬеЬаиога1) модели, на которой отрабатывается задуманный алгоритм функционирования ВУ. Затем эта модель вручную перерабатывается в синтезируемую модель ВУ, описанную на уровне регистровых передач (КТ1.-1ече1). Такая модель, будучи странслированной компилятором- синтезатором, дает проектную документацию в виде файла описания схемы ВУ на уровне вентилей (ЕР1Р-файл). При этом автоматически выполняется логическая оптимизация ВУ. Одновременно этот файл автоматически преобразуется в 'ЧНШ,-модель на уровне вентилей.

Проект ВУ в виде Е1есггоп1с Р1згг1Ьп11оп 1п1егпа6опа1 Гоппа1 (ЕР1Г) — файла принимается как исходный всеми САПР изготовления ПЛИС и СБИС. Эти САПР выполняют замену вентилей на библиотечные компоненты, их размещение на площади кристалла, трассировку межсоединений, проектирование масок, проверку соответствия проектным нормам и т.п. В результате записываются файлы проектной документации изготовления кристалла и его логической модели, учитывающей задержки как в вентилях, так и в межсоединениях. Эта модель также представляется на ЧН1.И..

Все этапы проектирования — алгоритмический, структурный, логический и технологический — сопровождаются моделированием ВУ с помощью так называемого испытательного стенда (гезгЬепсЬ). Этот стенд представляет собой УНР1.-модель, составными частями которой являются модель тестируемого ВУ и модели генератора тестовых сигналов и логического анализатора, проверяющих правильность функционирования ВУ. Причем на всех этапах может использоваться один и тот же испытательный стенд и те же тестовые файлы.

3

Распознанный текст из изображения:

Выполнение лабораторной работы.

Шаг 1. Создание нового проекта. - запустите Рго~ес1 Иач1да1ог; - в главном меню выберите функцию И1е -> Хев Рго1есФ; - дайте проекту имя 1аЬ2, задайте тип представления модуля верхнего уровня НШ. и сохраните проект в папке К:МгашшдЬегйоф1аЬя; - в окне выбора типа ПЛИС задайте те же свойства, что и в лабораторной работе №1; - в следующих диалоговых окнах нажмите ХехФ, в итоговом окне нажмите РшЬЬ;

добавьте к проекту уже существующие модули (компоненты) из предыдущей лабораторной работы, выбрав Н1е -> Адд Сору оГ Яопгсе„ - в папке К:Мта1пшфчег11оф1аЬяЧаЬ1 выделите файлы АХР ОК.ч1и1, МУ АХР2.г1и1, МУ ОК2.ч1и1 и нажмите кнопку Открыть (Рис. 2.2). Рис. 2.2 Добавление к проекту существующих модулей - для каждого файла задайте ЯупФеяяЛшр + Яши1а6оп, как показано на рисунке 2.3. Это позволит осуществлять синтез, ввод и моделирование данных модулей в новом проекте.

3

4

Распознанный текст из изображения:

Рисунок 2.3 Выбор типа добавляемых модулей

Шаг 2. Создание испытательного стенда (1ез1Ьепсп):

- из главного меню выполните Рго~ес1 -> Хеж Яопгсе;

- в окне Хею Яопгсе Жиагд !'рисунок 2.4) выберите тип модуля УНТ. Тей $'1хФпге и введите имя АХВ ОК ТВ; Расширение файла будет введено автоматически — не печатайте .ч

г °

ВММ Е1!е

- 1Р [СОВЕ 6епега1ог !! АгсЬ1!ес!иге Фаагг!)

' МЕМ Б!е

5сЬеггга6с

".' 1гггр$егггепйа6оп Сопз!га1п!з Ие

' . 5!а!е Огаогага

,," Тез! ВепсЬ ~Фаче!оггп

=-' Озег Оосигггеп!

У' Чег11оц Мос!ц1е

Рисунок 2.4 Диалоговое окно выбора типа нового модуля

- в следующем окне Аияос1аФе Яоигсе назначьте из трех модулей проекта модуль

АЯР ОК, который будете тестировать;

",.' ЧНО! Мой4е

;:" ЧНО!.11Ьгаг!г

1: ЧНО! Рас1саое

~„' ЧНО!. Тез! ВепсЬ

ЕгггЬесИег! Ргосеззог

.'з~

~ АМО ОЯ ТВм

, ' -.7д'"'."Ф -.,

:~ СЛ!га1п1пдЬегг1оф1аЬз%1аЬ2;":::, ':с'

5

Распознанный текст из изображения:

- нажмите Хек, в завершаемом диалоговом окне нажмите ИпЬЬ.

К настоящему времени, Вы создали интерфейс испытательного стенда высокого уровня.

Испытательный стенд является шаблоном в 1БЕ программном обеспечении. Данный

шаблон автоматизирует структурные блоки испытательного стенда. Если Вы просмотрите

файл, то увидите, что шаблон содержит следующие объекты:

е Декларация компонентов: Основанная на Объекте Испытания (1ЛЗТ), который Вы

разработали.

° Декларация проводов высокого уровня: Используются те же имена, что и имена

портов в 1ЛЗТ модуле.

Конкретизация и схема портов: Соединяется декларированная компонента и

провода.

° Подпрограммная оболочка для входных сигналов: Удобная исходная точка для

последовательных сигналов.

Шаг 3. Задание комбинации входных сигналов:

- отредактируйте шаблон кода модуля тестирования (файл АХ0 ОК ТВ) следующим

образом:

'11шезса1е 1пз / 1рз

шойп1е АМ3 ОК ТВ;

же [3:01 ПЧР;

// 1прШз

ген ~1:О] А ЗТ1М =2ЪОО;

ген ~1:01 В ЯТ1М = 2'ЬОО„

// Ои1ри1з

маге ОБТ1;

азз1яп ПЧР = (А ЯТ1М, В ЯТ1М1;

// 1пз1ап6а1е йе 1.1шШпс1ег Тези (1ЛЗТ)

АМЭ ОКНШ(

.ПЧР(ПЧР),

.013Т(ОУТ)

1п16а1 Ьерп

// 1пйа11ке 1при1з

А ЯТ1М=2'ЬОО;

// Жа1г 200 пз Гог д1оЬа1 гезу 1о йшзЬ

4200'„

А ЯТ1М = 2Ъ01;

6

Распознанный текст из изображения:

А ЯТ1М=2'Ы1; №200 А БТ1М = 2'ЬОО; епй аМауз №100 В ЯТ1М = В ЯТ1М+1; епйпос1и1е

сохраните файл. Шаг 4. Симуляция кода программы и построение временных диаграмм: - в окне Яоатсеи выберите ВеЬамога1 Яши1а60п и выделите файл А%0 ОК ТВл; - проверьте синтаксис кода симулирующей программы. Для этого в окне Ргосевяез раскройте меню Хйшх 1ЯЕ Япш1аФог и вызовите опцию СЬес1 ЯупФах, Исправьте возникшие ошибки; - проверьте правильность синтезируемой схемы, для чего в окне Ргосеыеи выберите Яипп1а1е ВеЬатчога1 Моде1; - в открывшемся окне временные диаграммы отражают суть работы синтезированной схемы (рисунок 2.5); Рисунок 2.5 Результаты работы программы тестирования - добавьте в окно симуляции тестовые сигналы А ЯТ1М и В ЯТ1М из списка сигналов испытательного стенда (Н1егагсЬу 0Г АХР ОК ТВ ~ в окне Ргосеяяея);

управлять процессом симуляции можно кнопками, расположенными на панели инструментов (рисунок 2.6): Останов Пуск Выполнение на заданном интервале времени

'Р :,.ф:'":.~~'-:::~,,:~:,'!у~;::~ 3 000 Щ т ф Выполнение по шагам Рисунок 2.б Опции управления процессом симуляции

7

Распознанный текст из изображения:

Из рисунка 2.5 видно, что синтезируемая схема правильно реализует функцию ОУТ1=ПЧР(0)ЮППЕР(1)чПЧР(2)йПЧР(3). Входам ПЧР(0), ПЧР(1) соответствует сигнал В ЯТ1М, входам ПЧР(2), ПЧР(3) — сигнал А ЯТ1М, выходу 01.1Т1 — сигнал ОБТ1.

Таким образом, составными частями испытательного стенда являются:

- объект высокого уровня (1ор-1ече1), который необходимо тестировать (АХВ ОК);

- внутренние сигналы, которые управляют тестовым сигналами проверяемого модуля

1ШТ и контролируют ответы из ~ШТ;

- реализация 1ЛЗТ;

- формирование тестовых сигналов (А ЯТ1М, В ЯТ1М);

- сообщения о предупреждениях и ошибках.

Картинка-подпись
Хочешь зарабатывать на СтудИзбе больше 10к рублей в месяц? Научу бесплатно!
Начать зарабатывать

Комментарии

Поделитесь ссылкой:
Рейтинг-
0
0
0
0
0
Поделитесь ссылкой:
Сопутствующие материалы
Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Нашёл ошибку?
Или хочешь предложить что-то улучшить на этой странице? Напиши об этом и получи бонус!
Бонус рассчитывается индивидуально в каждом случае и может быть в виде баллов или бесплатной услуги от студизбы.
Предложить исправление
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5140
Авторов
на СтудИзбе
441
Средний доход
с одного платного файла
Обучение Подробнее