Главная » Все файлы » Просмотр файлов из архивов » PDF-файлы » Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем

Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем (Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем.pdf)

PDF-файл Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем (Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем.pdf) Математические модели и методы логического синтеза сверхбольших интегральных схем (63741): Лекции - 9 семестр (1 семестр магистратуры)Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем (Задача проектирования цифровых СБИС и связанные с ней моде2020-08-19СтудИзба

Описание файла

PDF-файл из архива "Задача проектирования цифровых СБИС и связанные с ней модели дискретных управляющих систем.pdf", который расположен в категории "". Всё это находится в предмете "математические модели и методы логического синтеза сверхбольших интегральных схем" из 9 семестр (1 семестр магистратуры), которые можно найти в файловом архиве МГУ им. Ломоносова. Не смотря на прямую связь этого архива с МГУ им. Ломоносова, его также можно найти и в других разделах. .

Просмотр PDF-файла онлайн

Текст из PDF

Математические модели и методылогического синтеза СБИСОсень 2015Лекция 1План лекции• Общие сведения о проектировании цифровых СБИС, средстваавтоматизации проектирования. Основные стратегиипроектирования цифровых СБИС.• Методология проектирования на основе стандартныхэлементов (ячеек). Программируемые матрицы логическихэлементов (ПЛИС).

Системы на кристалле.• Уровни абстракции при проектировании цифровых СБИС.Математические модели, используемые для описанияразличных уровней абстракции цифровой СБИС.Комбинационные и последовательные схемы.• Упрощенный маршрут проектирования современных цифровыхСБИС.• Меры качества разработки цифровых СБИС. Параметры,оптимизируемые при проектировании СБИС. Источники шума вСБИС, влияние шума на цифровые СБИС.Общие сведения опроектировании цифровых СБИСЛекция 1Сверхбольшая интегральная схемаЭлементная база цифровыхинтегральных схем (ИС)Производство интегральных схемЗакон МураГордон Мур (1965 г.) – удвоение числа транзисторов на интегральнойсхеме будет происходить каждые два года.Фундаментальные ограничения• Транзисторы и провода имеют конечныеразмеры• Транзисторы можно расположить только в2-х и 3-х мерном пространстве• Скорость света ограниченаСредства автоматизациипроектирования цифровых СБИС• Современные СБИС невозможноспроектировать вручную• Нужны специальные программы дляавтоматизации различных этаповпроектирования СБИС• При этом требуется как пониманиевозникающих при этом математическихзадач, так и особенностей технологийпроизводства СБИСОсновные стратегиипроектирования цифровых СБИСЛекция 1Основные стратегии проектированияцифровых СБИС• Заказное проектирование– Все основные элементы ИС проектируютсяиндивидуально и вручную– Высокая стоимость• Полу-заказное проектирование– Использование заранее спроектированных элементов(IP-блоки, библиотечные элементы)– Использование средств автоматизации– Дополнительные ограничения на различных этапахпроектирования• Программируемые ИС– Все основные элементы ИС заранее спроектированы– Возможность настраивать устройство во время работыи/или на этапе проектированияМетодология проектирования на основестандартных ячеекМетодология проектирования на основестандартных ячеекПрограммируемые матрицы логическихэлементов (ПЛИС)Программируемые матрицы логическихэлементов (ПЛИС)Системы на кристаллеУровни абстракции припроектировании цифровых СБИСЛекция 1Уровни абстракции припроектировании цифровых СБИССистемный уровеньΣАвтоматный (поведенческий) уровеньзϕЛогический (схемный) уровеньТранзисторный уровеньУровень топологииСистемный уровеньПоведенческий уровеньab cinFull Adder`timescale 1ns / 1psmodule FullAdder (input a,input b,input cin,output s,output cout );assign {cout,s} = a + b + cin;scoutendmoduleЛогический (схемный) уровень`timescale 1ns / 1psmodule FullAdder (input a,input b,input cin,output s,output cout );// wires (from ands to or)wire w1, w2, w3;// carry-out circuitryand( w1, a, b );and( w2, a, cin );and( w3, b, cin );or( cout, w1, w2, w3 );// sumxor( s, a, b, cin );endmoduleТранзисторный уровеньУровень топологииКомбинационная и последовательнаялогикаУпрощенный маршрутпроектирования цифровых СБИСЛекция 1Упрощенный маршрутпроектированияСпецификация системыENTITY test isport a: in bit;end ENTITY test;Проектирование архитектурыФункциональное проектированиеФункциональное проектированиеЛогическое проектированиеDRCLVSERCФизическое проектированиеВерификация топологииИзготовлениеКорпусирование и финальное тестированиеМеры качества разработкицифровой интегральной схемыЛекция 1Метрики проектирования• Как оценить «качество» проектируемойинтегральной схемы?– Цена– Надежность– Скорость/производительность(задержка,частота работы)– ЭнергопотреблениеНадежность• Шум(noise) – нежелательные изменениянапряжений и токов в логических узлах.Индуктивная связьЕмкостная связьШум в цепях питания и землиНадежность• Схема должна корректно работать приналичии «аналогового» шума– Вентиль может быть невосприимчив к«малым» шумам– Вентиль может подавлять шум• В цифровой схеме дискретные значения 0 и1 отображаются на некоторые диапазонызначений напряжения.Передаточная характеристикаинвертора по напряжениюЗапас устойчивости по шумуЗапас устойчивости по шуму• NML – запасустойчивости пошуму низкогонапряжения• NMH – запасустойчивости пошуму низкогонапряженияВыход вентиляКаскад МВход вентиляКаскад М+1Свойство регенеративности• Цепочка инверторов• Результат моделированияСвойство регенеративностиРегенаративный вентильНерегенеративный вентильРазветвление вентилейИдеальный цифровой вентильПример: n-МОП инвертор 1970-хгодовVOH = 3,6 BVOL = 0,4 BVIL = 0.6 BVIH = 2.3 BNMH = VOL – VIH = 1,3 BNML= VIL – VOL = 0,2 BПроизводительность:Определение задержкиЗадержка разветвленногоинвертора• Необходим способ «грубой» оценкизадержки независимый от особенностейтехнологии производства схемы• Метрика: инвертор с ветвлением выхода 4RC-схемы первого порядка• Открытый транзистор – RC-схема следующего вида:• Функция u(t) удовлетворяет дифференциальному уравнениюtd ( E  u (t ))1( E  u (t ))  u (t )  E (1  e RC )dtRC• Таким образом, задержка транзистора – время, за которое потенциалu(t) достигает порогового значения, - пропорциональна RC.Моделирование проводников припомощи RC-схемЗадержка цепи из nдискретныхсопротивлений иемкостейпропорциональна n2,то есть квадрату еедлиныНепрерывный проводник слинейной плотностью емкости c илинейной плотностьюсопротивления r 2Q( x, t ) 1 Q0 2xrc tуравнение теплопроводности,задержка пропорциональна x2ЭнергопотреблениеМетрики связанные сэнергопотреблением• Мощность-задержка – объединеннаяметрика, которая представляетпроизведение рассеиваемой мощности назадержку.• Эта метрика часто приводит к тому, чтополученный вентиль имеет большуюзадержку.• Энергия-задержка (EDP): EDP = (Pave*tp) * tpЭнергопотребление транзистора• Напряжение на CL установится на уровне VDD• Заряд на конденсаторе равен CL*VDD• Таким образом, энергия равна QVDD = (CLVDD)VDDЭнергопотребление транзистораПолевые транзисторы, принципих работы и устройство•p-канальный МОП-транзистор;Проводит от u к v и обратнотогда и только тогда, когда назатворе w – низкий потенциал(“0”).•n-канальный МОП-транзистор;Проводит от u к v и обратно,тогда и только тогда, когда назатворе w – высокий потенциал(“1”).Полевые транзисторы, принципих работы и устройствоПростейшие логические схемы наКМОП-транзисторахСтруктура комбинационныхКМОП-схемПравильные комбинационныеКМОП-схемы• Потенциал “1” в вершине u в моментвремени t формируется тогда и толькотогда, когда к этому моментусформировались: цепь C из “1” в u,состоящая из открытых p-транзисторов, исечение S, состоящее из закрытыхтранзисторов p- и n- типа, котороеотрезает u от “0”.

Аналогичным образомв вершине u формируется потенциал “0”.• КМОП-схема Σ – правильнаякомбинационная схема, если на любомнаборе значений входных переменных Σ впроцессе её функционирования в любойуправляющей или выходной вершине Σтаким образом будет сформирован одиниз потенциалов “0” или “1”.Некоторые классы «неправильных»комбинационных КМОП-схемXiСхема с проблемами переключенияДифференциальная каскоднаялогика переключения напряжения(Differential Cascode Voltage SwitchLogic(DCVSL))XiСхема с нагрузочным транзисторомСтандартизированная логика(ratioed logic).

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5224
Авторов
на СтудИзбе
428
Средний доход
с одного платного файла
Обучение Подробнее