49287 (Триггеры)

2016-07-30СтудИзба

Описание файла

Документ из архива "Триггеры", который расположен в категории "". Всё это находится в предмете "информатика" из , которые можно найти в файловом архиве . Не смотря на прямую связь этого архива с , его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "информатика, программирование" в общих файлах.

Онлайн просмотр документа "49287"

Текст из документа "49287"

ТРИГГЕРЫ

Общие сведения о триггерах

Триггер — логическое устройство, способное хранить 1 бит данных. К триггерным принято относить все устройства, имеющих два устойчивых состояния. В основе любого триггера находится кольцо из двух инверторов, показанное на рис.12.1. Общепринято это кольцо изображать в виде так называемой защелки, которая показана на рис.12.2.

Рис.12.1. Кольцо из двух инверторов

Рис.12.2. Изображение бистабильного элемента защелки

В настоящее время существует много разновидностей триггерных схем. Все они появились как результат разработки новых цепей запуска. Состояние триггера определяется значением выходного сигнала Q. Если изменение Q, т.е. переключение триггера, происходит только при поступлении синхронизирующего сигнала (синхроимпульса), то триггер называется синхронным. Триггеры могут синхронизироваться уровнем или фронтом синхроимпульсов. Триггеры, синхронизируемые уровнем, могут изменять свое состояние в течении длительности синхроимпульса (уровня синхросигнала) при поступлении соответствующих управляющих сигналов, т.е. могут могут переключаться несколько раз за время действия одного синхроимпульса. В течение паузы между синхроимпульсами состояние такого триггера сохраняется при любых изменениях управляющих сигналов.

Триггеры, синхронизируемые фронтом, изменяют свое состояние при поступлении на синхронизирующий вход соответствующего фронта (положительного или отрицательного) синхроимпульса, а затем это состояние сохраняется при любых изменениях управляющих сигналовю За время действия одного синхроимпульса, триггер, синхронизируемый фронтом, может переключаться только один раз. В асинхронных триггерах отсутствует вход синхронизации. Поэтому переключение таких триггеров происходит когда на управляющие входы поступает соответствующая комбинация управляющих сигналов.

RS- триггер

RS‑триггер имеет два входа раздельной установки в нулевое и единичное состояния. Воздействия по входу S (от слова SET - установка) приводит триггер в единичное состояние, а воздействие по входу R (от слова RESET - сброс) – в нулевое. Одновременная подача сигналов S и R не допускается.

На рис.12.3 показано условное графическое обозначение RS‑триггера.

Рис.12.3. Условное графическое обозначение RS‑триггера

Тип триггера определяется по его характеристическому уравнению, которое указывает значение выходного сигнала Qn+1 после переключения триггера (в момент времени tn+1) в зависимости от значений управляющих сигналов и выходного сигнала Qn до переключения триггера ( в момент времени tn).

В соответствие с таблицей характеристическое уравнение RS‑триггера имеет вид:

При S=R=0 (режим хранения) – состояние выхода триггера не меняется: Qn+1=Qn

RS‑триггер может быть получен из двух логических элементов И‑НЕ (рис.12.4).

Рис.12.4. RS – триггер на двух логических элементах И‑НЕ

Временные диаграммы показывают уровни напряжения и временные интервалы между входными и выходными сигналами и соответствуют той картине, которую можно наблюдать на экране осциллографа. По горизонтали откладывается время, по вертикали – уровень напряжения.

Временные диаграммы для различных режимов установки RS‑триггера показаны на рис.12.5.

Рис.12.5. Временные диаграммы сигналов для RS‑триггера

Тактируемый (синхронный) RS‑триггер

В тактируемых (синхронных) устройствах процесс переработки информации упорядочивается во времени с помощью специальных тактовых сигналов, вырабатываемых общим для всего устройства генератором.

Отличия тактируемого RS‑триггера от обычного RS‑триггера состоит в появление одного дополнительного синхронизирующего входа. Условное графическое изображение тактируемого RS‑триггера показано на рис.12.6.

Рис.12.6. Условное графическое изображение тактируемого RS‑триггера

Из таблицы истинности (табл.12.2) видно, что только три верхние строки таблицы описывают реальные режимы работы тактируемого RS‑триггера. Нижняя строка соответствует запрещенному состоянию, которое никогда не используется.

Для получения тактируемого RS‑триггера нужно ввести два дополнительных элемента И‑НЕ (рис.12.7).

Таблица 12.2. Таблица истинности для тактируемого RS‑триггера

Рис.12.7. Тактируемый RS‑триггер на четырех элементах И‑НЕ

Рассмотрим временные диаграммы, которые иллюстрируют принцип работы тактируемого RS‑триггера (рис.12.8).

Рис.12.8. Временные диаграммы сигналов для тактируемого RS‑триггера

Синхронизирующему входу соответствует самая верхняя диаграмма. Тактовый импульс 1 не оказывает никакого влияния на состояние выхода Q, когда на обоих входах R и S установлен уровень логического 0. Во время прохождения импульса 1 триггер находится в режиме хранения. В момент предварительной установки (предустановки) входа 5, когда на этот вход подается логическая 1, выход Q все ещё остается в прежнем состояние Q=0, и только на фронте (нарастающем крае) тактового импульса 2 происходит его переключение к 1. Тактовые импульсы 3 и 4 не влияют на состояние выхода Q. Во время прохождения импульса 3, триггер находится в режиме установки 1, во время прохождения импульса 4 - в режиме хранения. Затем логическая 1 подается на вход R (предустановка входа R). На фронте импульса 5 происходит сброс (очистка) выхода Q путем установки его в состояние 0. Во время прохождения импульсов 5 и 6 триггер находится в режиме установки 0, во время прохождения импульса 7 – в режиме хранения.

Из временных диаграмм (рис.12.8) видно, что состояние выходов тактируемого RS‑триггера может изменяться только в моменты прихода тактовых импульсов. В этом случае триггер работает синхронно, т.е. процесс переключения его выходов находится в синхронизме с тактовыми импульсами.

Важную роль во многих цифровых схемах играет такая характеристика RS‑триггера, как наличие памяти. Если триггер установлен в состояние 1 или 0, то он остается в этом состояние даже при некоторых изменениях входных сигналов. Такое свойство триггера проявляется в режиме хранения. Из временных диаграмм (рис.12.8) видно, что этот режим реализуется во время прохождения тактовых импульсов 1, 4 и 7.

Изменение состояний триггера при поступлении различных последовательностей входных (управляющих и синхронизирующих) сигналов представляются с помощью графа переходов.

Рассмотрим граф переходов (рис.12.9) для RS‑триггера, синхронизируемого положительным фронтом (С=0 1) синхросигнала.

Рис.12.9. Графы переходов RS‑триггера, синхронизируемого фронтом

На рис.12.9 кружками, в которых указаны значения выходного сигнала Q, обозначены устойчивые состояния триггера. Стрелками показаны переходы из одного состояния в другое, при поступление соответствующих комбинаций входных сигналов. Если данная комбинация не вызывает изменения Q, то стрелка замыкается на исходном состоянии. Триггер, синхронизируемый фронтом имеет по два различных состояния, соответствующих Q=0 и Q=1, которые помечены индексами А и В. Изменение значения Q происходит при поступление перепада С=01, если предварительно при С=0 на управляющих входах установлены соответствующие комбинации: RS=10 или 01. Поэтому граф переходов имеет промежуточные состояния 0В, 1В, в которые триггер переводится перед переключением. D‑триггер

D‑триггер (от слова delay-задержка) принимает информацию по одному входу. Его состояние повторяет входной сигнал, но с задержкой, определяемой тактовым сигналом.

Условное графическое обозначение D‑триггера показано на рис.12.10.

Рис.12.10.Условное графическое обозначение D‑триггера

Табл.12.3 показывает, что сигнал на выходе Q в такте n+1 (Qn+1) повторяет сигнал, который был на входе D в предыдущем такте n (Dn).

Таблица 12.3.Таблица истинности для D‑триггера

D‑триггеры бывают только синхронными. В соответствии с табл.12.3, характеристическое уравнение D‑триггера имеет вид:

Qn+1 =Dn

Граф перехода для D‑триггера, синхронизируемого положительным фронтом синхросигнала, показан на рис.12.1.

Рис.12.11. Граф переходов D‑триггера, синхронизируемого положительным фронтом синхросигнала

На рис.12.11 в кружках указаны значения выходного сигнала Q. В знаменателях дробей показаны промежуточные состояния, в которые триггер переводится перед переключением. Стрелками показаны переходы триггера из одного состояния в другое при поступлении соответствующих комбинаций входных сигналов С и D. D‑триггер можно получить из тактируемого RS‑триггера, путем добавления инвертора (рис.12.11).

Рис.12.12.Схема D‑триггера

Серийно выпускаемые D‑триггеры имеют два дополнительных входа: предварительной установки (S) и очистки (R). Название этих входов происходит от английских слов PRESET (предустановка) и CLEAR (очистка).

Условное графическое обозначение D‑триггера с двумя дополнительными входами показано на рис.12.13.

Рис.12.13. Условное графическое обозначение D‑триггера с двумя дополнительными входами

Логический 0 на входе S инициирует установку логической 1 на выходе Q. Логический 0 на входе R инициирует очистку выхода Q (установку логического 0 на выходе Q). В активных состояниях входы S и R блокируют действия входов D и C. При разблокировании входы D и С действуют так, как и в обычном D-триггере. В таблице истинности (табл.12.4) входы разделяются на асинхронный и синхронный. Асинхронные входы S и R в активных состояниях блокируют действия синхронных входов (D и C). Первые три строки табл.12.4 описывают режимы, в которых работа триггера контролируется асинхронными входами. При этом синхронные входы (D и C) могут находиться в любых состояниях, что отмечено знаком Х в табл.12.4.

Таблица 12.4. Таблица истинности для D‑триггера с дополнительными входами

Свежие статьи
Популярно сейчас
А знаете ли Вы, что из года в год задания практически не меняются? Математика, преподаваемая в учебных заведениях, никак не менялась минимум 30 лет. Найдите нужный учебный материал на СтудИзбе!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5173
Авторов
на СтудИзбе
436
Средний доход
с одного платного файла
Обучение Подробнее