Главная » Все файлы » Просмотр файлов из архивов » Файлы формата DJVU » Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL (2003)

Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL (2003), страница 37

DJVU-файл Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL (2003), страница 37 Микропроцессорные системы (МПС) (2298): Книга - 8 семестрСуворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL (2003): Микропроцессорные системы (МПС) - DJVU, страница 37 (2298) - СтудИзба2018-12-30СтудИзба

Описание файла

DJVU-файл из архива "Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL (2003)", который расположен в категории "". Всё это находится в предмете "микропроцессорные системы (мпс)" из 8 семестр, которые можно найти в файловом архиве МГТУ им. Н.Э.Баумана. Не смотря на прямую связь этого архива с МГТУ им. Н.Э.Баумана, его также можно найти и в других разделах. Архив можно найти в разделе "книги и методические указания", в предмете "микропроцессорные системы" в общих файлах.

Просмотр DJVU-файла онлайн

Распознанный текст из DJVU-файла, 37 - страница

В начале текста модели содержится указание на используемый пакет. Оно состоит из имени файла, в котором расположен пакет, имени пакета и ключевого слова а11, которое указывает на то, что используется все содержимое Глава 4 пакета. Подключив пакет, мы получаем возможность сразу, в декларации есааау, ИСПОЛЬЗОВать НестаНдартНЫй тИП аоео левее. Результаты работы модели представлены на рис.

4.10. Рис. 4.10. Временная диаграмма работы устройства, описанного е листинге 4пб Использование в моделях механизма иай Ранее в одном из примеров мы использовали механизм исае (листинг 4.14), как альтернативу списку чувствительности (листинг 4.11). Однако список ЧунетВИтЕЛЬНОСтИ И МЕХаНИЗМ исаа В МОДЕЛИ НЕ ВСЕГда ВэаИМОЗаМЕНяЕМЫ.

Например, может возникнуть необходимость в написании процесса, который при наступлении определенного события прекратит выполнение до конца моделирования (например, процесс инициализации входных значений сигналов). Или же нужно создать процесс, который бы генерирова)) значения для входного сигнала. Например, это может быть полезно, когдз предполагается использование модели широким кругом пользователей, не все из которых используют среду ОгСА0 Ехргезз для моделирования и, соответственно, не могут пользоваться файлами входных значений, сгенерированными в этой среде.

В таКИХ СЛуЧаяХ ИСПОЛЬЗуЕтСя МЕХаНИЗМ иеас. ЭтОт МЕХаНИЗМ НЕСОВМЕСТИМ С механизмом списка чувствительности. Рассмотрим модель простейшего триггера, где триггеру в начальный момент времени присваивается начальное значение О (листинг 4.17). есааеу ст ае рсха (г): аа апоедег; с1хгап ЫС; я:3лоие ьпееоет); еас еаеЫу сг; аасМеесеоае )ое)таоьог оа ст ае Ьеяатт раосееа1с1Х) Проектирование на МНх) 1 Ьед1о 11 с1)с = '1' астя с1)с'етепс еьво Ч< = с)! езве Ч< = сп еао 1Я! во() ргооевв ! хпцеха1хве ! ртооввв Ьед1о ч< = 0; еаЫ сот 10000! епст ргосевв 1п1С1а1хве! еоа атоЬМеоаоте Ьеьаухот! Дяя ПрИСВОЕНИя НаЧаЛЬНОГО ЗНаЧЕНИя ИСПОЛЬЗустоя ПрОцЕСС 1пхе1а11ве.

ОН выполняется 1 раз в 100 000 нс. и устанавливает триггер в начальное состояние. Рассмотрим модель простейшего триггера, где производится автоматическая генерация тактового сигнала (листинг 4.18). ехШу ст 1в Ротс (с)сЫ 1пседег! с)с1ооюг 1пгедег) ! ет) еас1еу ст! етоЫ.свесите сг1 Ьеьао1от от ст 1в е1933а1 с1)с! Ь1Е! ооовтаат С! Е1тее! = 2 ов! сх ргосс ртооввв(с1Ю Ьвд)хх 11 с1)с = '1' аоо с1)с'еоепс еьво с)< = с); е1ве с)< = с)! евсз 1т! епд ртосевв ст ртсс; шпет с1)с! ргосевв Ьед1а с1)с< = '1' атсег С, '0' ахает 2*С; ва1Е вот 2*С + 1 ов! евв ртооввв !.поет с1)с! еаб агоьзсеогите Ст1 Ьеьагьох! твб Глава Первый процесс модели (ст ртос) описывает работу триггера, а второй (!лает с!к) служит для задания тактового сигнала.

Обратите внимание на со отношение времен. Время, указанное в мазе, определяет длительность так. та, оно должно быть не менее суммарного времени в операторт присваивания. При т = 2 нс. диаграмма работы моделируемого устройствв представлена на рис. 4.1!. Рис. 4.11. Временная диаграмма работы триггера, описанного а листинге 4.1В Когда процесс !поет с!к выполняется первый раз, в момент модельнотв времени Т„д = 0 происходит следующее: тактовый сигнал отк из начального значения (для типа ые это о, если не задано иное) через время т переходит в состояние т, а через время 2хт от начала выполнения пронесся переходит в о .

В результате формируется положительный импульс длительностью 0 начало которого сдвинуто на т нс. от начала моделирования. После этого процесс приостанавливает свое выполнение на время, указанное в иаде — на 2хт+ 1 нс. от момента начала текущего выполнения процесса в модельном времени. Сработал процесс в момент Т„,д = О, так чтю задержан он будет до момента Т „= 0 + (2хт+ 1) нс. В примере (рис. 4.11) при т = 2 нс. это будет Ти = 0 + (2х2 + 1) = 5, т. е.

до 5-й нс. модельногю времени. По истечении заданной в иаяе задержки процесс вновь сработает (уже в момент модельного времени Т„,д = 5 нс.). Теперь от этого моментв будут отсчитываться задержки в операторе присваивания значения сигнал) стк: в момент модельного времени Т,д = 5 + 2 = 7 будет сформирован передний фронт очередного импульса сигнала, а в момент Т„д = 5 + (2х2) = 9 — задний фронт, что даст импульс, длительностью 2 нс. Затем процесс будет задержан в модельном времени оператором иаы (на 2хт + 1 нс) от момента текущего выполнения до момеитя Т = 5+ (2х2+ 1) = 10, помеченного штрих-пунктирной линией на рис.

4.П. Затем процесс вновь начнет выполняться в момент модельного времени Т„„= 10, и т, д. Для дополнительной иллюстрации работы механизма возьмем модификацию программного текста (листинг 4.7), вставив в него оператор ожидания мазе. Новый вариант представлен листингом 4.19. Проектирование на ИНШ 1ВТ Ласт)) иг 4.1В вксвавесеоке Ьеьач ыа)Е ок атц ав рг г) е2: ркосевв таккаЬ1е час: 4пеедек; Ьедка иадте со а,Ь, сг час: = а + Ь! 6< = чак; еа).В Еск 4 авг е< = чаг*сг еда ртосевв рк г) е2г еьй аксидсесвиае Ьенач иаз.е; Этот вариант модели поведения устройства будет формировать выходной сигнал е через 4 нс.

после формирования выходного сигнала гт. ПсрВЫй ИЗ ОПЕратОрОВ вазе В ТЕЛЕ ПрОцЕССа (ОПЕратОР еа4Е оо а,Ь,сг) заменяет работу списка чувствительности (как мы помним, в одном процес- СЕ НЕЛЬЗЯ ИСПОЛЬЗОВатЬ И СПИСОК ЧуВСтВИтЕЛЬНОСтИ, И ОПЕратОр иа4Е). ОН задержит работу процесса до наступления события изменения хотя бы одного сигнала из списка а, ь, с.

Второй оператор иаае задаст приостановку выполнения действий процесса в модельном времени на 4 нс. Оператор еаае задает приостановку процесса, а также изменяет привязку порождаемых им событий к моментам модельного времени. Рис. 4.12 иллюстрирует соотнесение модельного времени и времени моделирования при ВЫПОЛНЕНИИ ПрОцЕССа рк <т е2 (ЛИСТИНГ 4.19). Рис. 4.12. Время моделирования и модельное время при выполнении процесса, использующего оператор еа4С (Тндд1 — момент модельного времени при запуске процесса; Т„дд2 — момент события по сигналам а, Ь, с) Глава 4 Использование типа запись Тип запись может использоваться для группировки сигналов, имеюших я модели общее назначение. Например, в запись можно сгруппировать множество сигналов управления, что значительно облегчает работу с моделью при большом количестве сигналов.

Записи могут использоваться как для внутренних сигналов архитектурного тела, так и лля входных и выходных сигналов. Использование типа запись для внутренних сигналов Рассмотрим устройство, которое имеет один вход данных с)аса1, один вход Ксда ОПЕрацИИ сов)ея И ОДИН ВЫХОД даННЫХ стаеао. ВНутрИ уСтрОйСтВа ИМЕ- ется 4 флага, в которые заносится информация из различных разрядов с)ава1, В ЗаВИСИМОСтИ От ЗНаЧЕНИя На ВХОДЕ сос)ея, На ВЫХОД с)авао ПОСтуПаЮт ЗНаЧЕНИя фЛаГОВ, В ЗаВИСИМОСтИ От сос)еа(1), В ПряМОМ ИЛИ Обратном порядке (с)аеас(0) = 411...4аеао (3) = 414 или с)а— Сао(0) = Я14...с)авао(3) = Г11).

Для хранения значения каждого флага можно использовать отдельный внутренний сигнал, а можно объединить их в одну запись. Такой подход особенно удобен, когда внутренних сигналов достаточно много и их можно разделить на несколько групп по какому-либо признаку, например, по назначению или по условиям изменения значений. Построим модель подобного устройства. Рассмотрим сначала часть объекта, в которой выполняется заполнение флагов значениями (листинг 4.20). $.':.....:,....:.-..

':..':=.'.:.' .: -.- ..'-. ".:;.. "'-'-:,.::-- '-=.:="."-:."=-Л'. 14ьгаву 1ЕЕЕ; пве 1ЕЕЕ.ЯТ)3 1СОХС 1164.а11; пве 1ЕЕЕ.НОНЕЕ1С Зтс.а11~ епеяеу пу епг. ав ровс (с)ава1: ап вс и1од1с уесвог(3 доипво О); сос)ея: яп вод. и1одас уесеог(1 оовпго О); саеао: оив вес) и1одзо уесвог(3 ()оипео О) епа епсяву пу епв; агоЬХееогпге г1с ов ту епс яе 7роеитн ванне нв МНР1 :йра ау й1адв 1в засов(( й11с вс и1одйс; й12: всс) и1одйс; й13с Вод и1Одйс; й14с вас) и1од1сс ап$ таосисос сйдпай й1адв1с ыу й1адз; спдйп СЕС й1адзс рПООЕВВ (С)апа1, СОС)ай) Ъед1п сазе сос3ей 1в еп) сава, авй рпооевв зей й1адв; опс вйдс рзооавв(й1адз1) падйи 1й сос)ей(1) = '0' Ф3сеп с)айао(0)< = й1адв1.й11; с)айао (1) < = й1адв1.

й12; с)айао(2) < = й1адв1. й13; с)асао(3) < = й1адв1. й14; е1за = й1адв1. й14; = й1адв1. 113; = й1адз1.112; = й1адв1.й11; с)асао(0)< с)асао(1)< с)апас[2)< с)асао(3)< веп4 1й; апв рпооевв оис вйд; есв аго)сйоаоппзе г1с с мазе "00" = > й1адв1.й11< = с)айа1(О) с й1адз1.й12< = с)аса1(1) с й1адз1.й13< = 'Е'с снап "01" = > й1адв1.й13< = с)аса1(2)сй1адв1.й11< = 'я'с й1адв1. 112< = ' Е ' с й1адв1. й14< = ' Е ' с мьер "10" = > й1адв1.113< = с)аса1(0) с й1адв1.114< = с)аса1(3) с й1адв1.й11< = 'Е'; й1адв1.й12< = 'Е'; мЬеп "11" = > й1адв1.112< = с)аса1(2); й1адв1.й14< = с)аса1(1) с й1адз1.111< = 'Е'; й1адз1.й13< = 'Е'с Глав Здесь сигнал с типом значения васоев декларируется внутри архитектурн го тела г1с.

Заполнение флагов значениями выполняется в первом процессе, вес е1ад ВО ВтсрОМ ПрсцЕССЕ, осе вья, В ЗаВИСИМОСтИ От ЗНаЧЕНИя со<)ее(1) фОрМ) руется выходной сигнал. Временная диаграмма работы устройства предста! лена на рис. 4.13. Рис. 4.13. Временная диаграмма работы устройства, описанного в листинге 4.20 В качестве полей типа запись, для описания внутренних сигналов можи< использовать не только скалярные типы, но и массивы. Пусть, например первый из флагов в модели будет вектором 1листинг 4.21). :Лист)(нг 4.21 11)а у ХЕЕЕ< иае ХЕЕЕ.ЯТ)) ЬОСХС 1164.а11; вее ХЕЕЕ.НОНЕЕХС Зто.а11< ааеЫу я<у епс Ев роев (даса1< 3а все и1одьс уессот(3 <тоиаео О); со<)ег: Ха асс и1од1с местов(1 <тоиаео О); Проектирование нв уН0(.

191 йапао: опс вЫ п1одзс чесяог(3 оовпсо О) ей епв4яу ту епя; атса4сесвпге г1С ой ву епя хе туре пу й1адв 1в веско 411: вп и1сдус чесясг(1 оовппо О); 112: яп«) ы1одус; 213: яяс3 и1одус; т14: ясо и1оддс; епй гесого; в1дпа1 й1адв1: ту Е1адя; 'яеддп ргосевв (даяа1, сот)ей) ввдАп саяе состав 4в = > й1адя1.211(0)< = с3аяа1(0); Е1адя1.211(1)< = т)аса1(3); даяа1(1); Й1адв1,Е13< = 'Е'> = > й1адя1.Е13< = с)апа1(2);й1адя1.Е11« = "ЕЕ"; 'г'; й1адв1.Е14< = 'Е'; = > й1адя1.213< = оаяа1(0); й1адв1.214< = с)аяа1(3)," "ЕЕ"; й1адв1.Е12< = 'Е'; = > й1адв1.Е12< = йаяа1(2); й1адв1.214< = т)аяа1(1); "ЕЕ"; Й1адя1.213< = 'Е'; в)т~ь "00 (1адя1.

Свежие статьи
Популярно сейчас
Зачем заказывать выполнение своего задания, если оно уже было выполнено много много раз? Его можно просто купить или даже скачать бесплатно на СтудИзбе. Найдите нужный учебный материал у нас!
Ответы на популярные вопросы
Да! Наши авторы собирают и выкладывают те работы, которые сдаются в Вашем учебном заведении ежегодно и уже проверены преподавателями.
Да! У нас любой человек может выложить любую учебную работу и зарабатывать на её продажах! Но каждый учебный материал публикуется только после тщательной проверки администрацией.
Вернём деньги! А если быть более точными, то автору даётся немного времени на исправление, а если не исправит или выйдет время, то вернём деньги в полном объёме!
Да! На равне с готовыми студенческими работами у нас продаются услуги. Цены на услуги видны сразу, то есть Вам нужно только указать параметры и сразу можно оплачивать.
Отзывы студентов
Ставлю 10/10
Все нравится, очень удобный сайт, помогает в учебе. Кроме этого, можно заработать самому, выставляя готовые учебные материалы на продажу здесь. Рейтинги и отзывы на преподавателей очень помогают сориентироваться в начале нового семестра. Спасибо за такую функцию. Ставлю максимальную оценку.
Лучшая платформа для успешной сдачи сессии
Познакомился со СтудИзбой благодаря своему другу, очень нравится интерфейс, количество доступных файлов, цена, в общем, все прекрасно. Даже сам продаю какие-то свои работы.
Студизба ван лав ❤
Очень офигенный сайт для студентов. Много полезных учебных материалов. Пользуюсь студизбой с октября 2021 года. Серьёзных нареканий нет. Хотелось бы, что бы ввели подписочную модель и сделали материалы дешевле 300 рублей в рамках подписки бесплатными.
Отличный сайт
Лично меня всё устраивает - и покупка, и продажа; и цены, и возможность предпросмотра куска файла, и обилие бесплатных файлов (в подборках по авторам, читай, ВУЗам и факультетам). Есть определённые баги, но всё решаемо, да и администраторы реагируют в течение суток.
Маленький отзыв о большом помощнике!
Студизба спасает в те моменты, когда сроки горят, а работ накопилось достаточно. Довольно удобный сайт с простой навигацией и огромным количеством материалов.
Студ. Изба как крупнейший сборник работ для студентов
Тут дофига бывает всего полезного. Печально, что бывают предметы по которым даже одного бесплатного решения нет, но это скорее вопрос к студентам. В остальном всё здорово.
Спасательный островок
Если уже не успеваешь разобраться или застрял на каком-то задание поможет тебе быстро и недорого решить твою проблему.
Всё и так отлично
Всё очень удобно. Особенно круто, что есть система бонусов и можно выводить остатки денег. Очень много качественных бесплатных файлов.
Отзыв о системе "Студизба"
Отличная платформа для распространения работ, востребованных студентами. Хорошо налаженная и качественная работа сайта, огромная база заданий и аудитория.
Отличный помощник
Отличный сайт с кучей полезных файлов, позволяющий найти много методичек / учебников / отзывов о вузах и преподователях.
Отлично помогает студентам в любой момент для решения трудных и незамедлительных задач
Хотелось бы больше конкретной информации о преподавателях. А так в принципе хороший сайт, всегда им пользуюсь и ни разу не было желания прекратить. Хороший сайт для помощи студентам, удобный и приятный интерфейс. Из недостатков можно выделить только отсутствия небольшого количества файлов.
Спасибо за шикарный сайт
Великолепный сайт на котором студент за не большие деньги может найти помощь с дз, проектами курсовыми, лабораторными, а также узнать отзывы на преподавателей и бесплатно скачать пособия.
Популярные преподаватели
Добавляйте материалы
и зарабатывайте!
Продажи идут автоматически
5209
Авторов
на СтудИзбе
430
Средний доход
с одного платного файла
Обучение Подробнее